CPU

網友在淘寶買整機 用了兩年才發現風冷沒撕膜:畫面太囧

近日,一名圖吧網友分享了自己遇到的離譜怪事:據他描述,他兩年前淘寶買的整機,打了小會遊戲感覺老是卡頓,退出來看了一下,發現cpu溫度直接到了100度。 本以為是散熱接觸不好,把散熱拆開一看,發現整機商家居然沒把風冷的膜撕掉…… 也有其他網友分享了類似經歷,但最讓人無語的是客服的態度,在這也提醒大家,購買整機時要仔細檢查配置。 有網友表示,這個整機店在B站做的挺風生水起的,經常刷到他們的視頻。這態度也的確一般,說實在的一管矽脂+郵費幾塊錢罷了,都不捨得維護一下老用戶,還指望出問題找他們解決?整機賣家還是慎重吧。 來源:快科技

銳龍能效比到底好在哪?R7 7840H實測給你答案

都說AMD銳龍平台這一代的能效比好,但是到底好在哪呢?我們不妨通過一組對比數據來看看。 這次使用的測試平台配置如下: 處理器:AMD銳龍7-7840H/英特爾酷睿i7-13700H 顯卡:NVIDIA GeForce RTX 4060筆記本電腦GPU(140W) 內存:16GB雙通道DDR5 5600MHz 硬碟:512GB PCIe4.0 SSD 可以看到,測試平台除了處理器不同之外,內存、硬碟、顯卡配置都一樣,這樣就可以很好地展現出能效比給性能體驗所帶來的差異。 AMD銳龍7 7840H處理器擁有8核心16線程,最大加速頻率可達5.1GHz,三級緩存16MB,默認TDP為54W。 英特爾酷睿i7-13700H處理器採用14核20線程設計,三級緩存24MB,最大加速頻率5GHz,默認TDP為45W。 首先參考CINEBENCH R23跑分,AMD銳龍7 7840H單核得分1742pts,多核得分16709pts;而英特爾酷睿i7-13700H處理器跑分也差不多,單核略高一些1807pts,多核略低一些16662pts。兩顆處理器單核和多核跑分可以說是互有勝負。 不過如果查看跑分時的功耗表現的話,就能夠明顯看出二者的能效比差異。 銳龍7 7840H處理器是基於TSMC 4nm FinFET工藝打造的,而酷睿i7-13700H處理器是Intel 7工藝,也就是10nm製程,CINEBENCH R23單核和多核分數基本持平的情況下,銳龍7 7840H平均功耗在70-75W之間,而酷睿i7-13700H處理器基本在80W以上了,總體能效比自然是銳龍7 7840H要占一些優勢。 那麼高能效比有什麼好處呢?功耗釋放難道不是越高越好嗎? 首先,高能效比就相當於“少花錢多辦事”。 其次,功耗釋放越高越好的前提是要做到更好的能效比,比如看著功耗跑到了150W,但實際性能相當於70W處理器的性能水準,這顯然就是既浪費電,性能還沒達到高標准。 因此,在性能達到高水平的前提之下,能效比越高所帶來的實際體驗也越好。我們用《無畏契約》遊戲測試來看看能效比的好處。測試時解析度為1920 x 1080,最高畫質。 可以看到,在1080P最高畫質下 ,銳龍平台運行幀數是非常讓人滿意的,基本都能達到200fps以上。 通過測試可以看到,整個遊戲的平均幀數達到了231fps,CPU占用率30%,平均溫度71℃。顯存占用率也比較低,只有27%,平均溫度48℃。因此可以看到,得益於高能效比,銳龍平台在運行遊戲時核心占用率更低,溫度更低,但幀數一點都不差。 我們拉出遊戲時的能效曲線來看看,下圖中GPU(黃色)初期功耗在70W附近,但是進入遊戲穩定之後,只需要20-50W功耗就可以完美運行了。 再看CPU功耗(藍色),全程基本在30-45W之間,甚至還沒有達到銳龍7 7840H處理器的默認功耗。 這意味著銳龍平台只需要最高50-90W的功耗就能讓《無畏契約》的畫面流暢度達到平均231fps,這樣的能效比表現可以說是相當優異。 高能效比除了會起到“少花錢多辦事”的作用,更為重要的還是體現在溫度方面。從下圖溫度波動來看,CPU基本在60-80℃之間,GPU則基本在50℃上下。 所以,省電、高性能、低溫度,是高能效比帶來的最為直觀的體驗提升,這也是為什麼半導體廠商始終在致力於提升製程工藝,以讓晶片在更小體積、更低能耗的情況下達到更加強悍的性能。 總結 銳龍平台在解決積熱問題之後,這一代的表現可以說是相當不錯,尤其是能效比優勢更為凸顯。以較低功耗和溫度來運行遊戲,並確保足夠流暢的畫面幀數,可以說是充分體現出了硬體製程工藝發展的核心目標。 來源:快科技

Intel公開展示Raptor Lake Refresh,但現在官方消息還是很少

在Intel Innovation 2023會議上,他們談論了新一代架構Meteor Lake,還有新一代至強可擴展處理器,以及各種產品的未來線路圖,在主題演講中並沒有提及任何關於酷睿第14代處理器的事情,但在線上的展廳展示視頻中,他們展示的機器裡面就有Raptor Lake Refresh。 這台展示機是一台創建數字人的系統,用攝像頭捕捉到真人後可以建立他的虛擬形象,主持人提到了這台機器用的是Raptor Lake Refresh,這也是第一次官方公開展示下一代桌面酷睿處理器,但處理器的具體型號和配置都沒有公開,可能相比於採用新架構的Meteor Lake,沒啥變化的Raptor Lake Refresh確實沒啥好提的。 即將推出的酷睿14代處理器包含6個型號,包括8P+16E的Core i9-14900K/KF,8P+12E的Core i7-14700K/KF以及6P+8E的Core i5-14600K/KF,預計將在10月17日解禁,其他非K型號將在CES 2024上推出。 此外並不止酷睿14代桌面處理器會使用Raptor Lake Refresh外,下一代移動處理器HX系列也同樣會使用Raptor Lake Refresh,具體的產品型號就真不太清楚了。 ...

Intel五代至強緩存暴增至448MB AMD笑而不語

Intel已經宣布,,和酷睿Ultra同一天。 它雖然只是Sapphire Rapids四代至強的升級版,不如明年Intel 3工藝的Granite Rapids、Sierra Forest變化那麼大(後者288個小核),但升級亮點依然不少。 YuuKi_AnS放出了高端型號至強鉑金8580的軟體識別截圖,證實為雙晶片整合,60核心120線程,二級緩存每核心2MB、總計120MB,三級緩存多達300MB,合計420MB,比現在多了2.6倍。 更關鍵的是,向上還有64核心旗艦,三級緩存增加到320MB,再加上128MB二級緩存,合計就是448MB,比現在增加足足3倍。 當然,AMD EPYC那邊更猛,96核心旗艦EPYC 9654 96MB二級緩存、384MB三級緩存,合計480MB,3D緩存加持的EPYC 9684X也又堆疊了768MB,合計1248MB。 AMD Zen5如果能把二級緩存翻倍到每核心2MB,總緩存量又是一次突飛猛進。 ,五代至強還會提升DDR5內存支持的頻率,優化電源模式,集成Intel加速器引擎以提升能效,總體能效提升可達17%。 來源:快科技

英特爾確認會有Meteor Lake-S:將於2024年登陸桌面平台

數個月前,曾反反復復出現有關Meteor Lake的桌面版本Meteor Lake-S是否被英特爾取消的報導。隨著英特爾宣布酷睿品牌將迎來重大升級,將其拆分為針對旗艦級的全新英特爾酷睿Ultra,以及針對主流級產品的英特爾酷睿處理器品牌,最終確認第14代酷睿處理器為Raptor Lake-S Refresh,似乎一切已塵埃落定。 近日,英特爾客戶端計算業務(CCG)執行副總裁兼客戶端計算事業部總經理Michelle Johnston Holthaus接受了媒體PC World的采訪,確認英特爾會在2024年面向桌面平台發布Meteor Lake-S,並不像外界猜測的那樣,將桌面平台與移動平台拆分成兩套架構的打算。 作為負責英特爾消費端幾乎所有事項的負責人,Michelle Johnston Holthaus這番表態就是一錘定音。按照英特爾的計劃,明年將發布Arrow Lake-S,如果在Raptor Lake-S Refresh和Arrow Lake-S中間再加入Meteor Lake-S,不知道產品線具體該如何安排。 此前就有人猜測,Arrow Lake-S和Meteor Lake-S可能包含在同一個產品陣容里。傳聞Meteor Lake-S最高只提供酷睿i5型號,最高規格為6P+8E+2E,共22線程,對應TDP僅為35W和65W,這意味著既沒有高端型號,也沒有面向發燒友的K/KF產品。Arrow Lake-S對應的TDP覆蓋了35W、65W和125W,會有最頂級的酷睿i9型號。 上個月還有網友發布了一張疑似Meteor Lake-S的實物照片,有著比Raptor Lake-S更大的集成散熱器(IHS),看起來似乎是支持新一代的LGA 1851插座。 ...

都被騙了?Intel官方確認 酷睿Ultra死而復生

Intel最初計劃在Meteor Lake這一代產品上更換,但因為新的Intel 4工藝不夠成熟,性能上不去,所以只能用於主流和輕薄筆記本,而高端遊戲本、桌面都由13代酷睿的升級版14代酷睿來撐場面。 事實上,Meteor Lake-S桌面版一度曾經做出樣品,但最終被砍掉。 Meteor Lake-S桌面版樣品 就在的時刻,Intel執行副總裁、客戶端計算事業部總經理Michelle Johnston Holthaus卻給出了驚人的說法。 他確認,Meteor Lake確實會有桌面版,將在2024年發布,架構和移動版是完全一致的! 從目前的情況看,Meteor Lake不可能突然變得足夠高性能,達到i9-13900K這樣的性能,畢竟已經可以基本確認,i9-14900K等首批六款K/KF型號將在10月17日發布,主流和低功耗版本的型號也已經流出。 所謂的Meteor Lake桌面版,極有可能類似當年Boardwell五代酷睿唯二的桌面版i7-5775C、i5-5675C,本質上仍然是移動版本,只不過改成了桌面封裝接口,當然不可能是下一代LGA1851,而肯定是現在的LGA1700。 理論上,這樣的產品型號不會多,也算是Meteor Lake最後的倔強了,但是也不排除完全可以滿足65W主流市場需求,畢竟6+8核心用於i5、i3系列是足夠了。 來源:快科技

採用Emerald Rapids架構的至強鉑金8580處理器泄露,擁有60核120線程

Intel在今年的Innovation會議上宣布代號「Emerald Rapids」的第五代至強可擴展處理器將會在今年12月14日上市,兼容現有的Eagle Stream平台。其依然採用Intel 7工藝,使用Raptor Cove架構核心,預計會有5%到10%的IPC提升,核心數量增加至64個,配備320MB的L3緩存和128MB的L2緩存。 而在會議結束後沒多久,@YuuKi_AnS就泄露了Emerald Rapids的至強鉑金8580處理器的CPU規格以及性能測試成績,該處理器有兩顆大晶片組成,擁有60核120線程,採用全P-Core設計,採用Raptor Cove內核,每核心2MB L2緩存,共有120MB L2,共享L3緩存容量大幅增加,達到300MB,L2+L3多達420MB。 和現有的Sapphire Rapids相比,緩存容量增加了2.6倍,當然依然無法與AMD的EYPC Genoa的480MB L3以及擁有1.5GB緩存的Genoa-X相提並論,但對於Intel自身來說提升已經不少了,實際上Intel也在嘗試3D堆疊緩存的設計,這將縮小與AMD競品在緩存容量上的差距。 這顆泄露的至強鉑金8580是A0步進的ES2樣品,處理器頻率和最終零售品相差甚遠,在雙路伺服器中只能以2.0GHz運行,可以看到測試平台擁有120核240線程,所用的主板是現有的Eagle Stream平台,因為Sapphire Rapids和Emerald Rapids所用插槽都是LGA 4677,均支持8通道DDR5內存,由於工程樣品頻率較低,而且目前BIOS優化不佳,所以當前測試成績沒啥參考價值。 第五代至強可擴展處理器Emerald Rapids相比於上一代,它的L3緩存增加到3倍,支持更高的DDR5內存頻率,最大核心數量提升至64核,支持CXL Type 3高帶寬接口,工作負載最佳化,優化電源模式,每瓦性能提升了17%,使用Intel加速器引擎可關閉CPU核心以提高電源效率,AI推理和訓練方面性能大幅提升,內建AI加速的Intel AMX。 第五代至強可擴展處理器具體產品列表如上圖所示,Emerald Rapids是目前Eagle Stream平台最後的一代處理器,下一代產品將轉向Birch Stream平台,屆時將會有擁有288個E-Core的Sierra Forest以及新一代P-Core至強處理器Granite Rapids。 ...

CPU溫度過高會有什麼後果?

CPU的溫度整機性能和穩定性有著至關重要的影響。CPU是由矽晶圓構成的,當溫度上升時,電晶體的性能會受損,從而讓電晶體工作效率降低,導致整體的CPU性能下降。當然過高的溫度還可能對CPU造成永久性損壞。 雖然現在大部分CPU都有溫度牆和主板的功耗牆保護,但長時間高顯然也容易造成損壞。因為CPU並非僅由矽組成,它還有其他部件如PCB板、核心和頂蓋。這些組成部分因材質不同,其在受熱時的膨脹係數會有差異。這意味著在極端溫度條件下,由於不同部件熱脹冷縮的幅度不同,可能導致組件間出現空隙,造成虛焊或斷裂。 所以確保CPU安全運行,廠商會在內部集成了溫度監測模塊。這個模塊能夠實時檢測CPU的工作溫度。一旦檢測到溫度過高,CPU為了降低溫度和保護硬體,會自動降低工作頻率。極端情況下,為了避免損壞,CPU甚至會自動斷電。 所以如果你的電腦經常遭遇藍屏或突然性能下降掉幀,那麼很有可能是由於CPU溫度過高所導致。這時,可以使用AIDA64等工具軟體來監測CPU的實時溫度。若發現溫度異常,應及時檢查和更換散熱器。 比較經典的案例就是因為散熱器沒撕保護膜而造成CPU溫度過高,這可以說是最常見的因為裝機錯誤造成溫度過高的操作。此外矽脂塗抹過多、散熱器風扇針接錯、機箱風道亂組,都可能會導致CPU溫度飆升,一般遇到可以優先查看是否是這些問題導致的。 至於CPU的適宜工作溫度,一般來說,桌面型CPU的待機溫度應控制在40℃以內,滿載時不超過90℃。對於筆記本,由於其設計對散熱有一定的限制,溫度相對較高,但理想情況下也不應超過100℃。 大部分CPU製造商會為其產品設定一個安全溫度上限,通常在100℃左右。超過這一溫度,系統可能會自動觸發藍屏保護,以防止數據丟失。因此,確保良好的CPU散熱不僅可以提高性能,還可以保護數據和硬體安全。 來源:快科技
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

劍指2nm工藝 歐洲《晶片法案》正式生效:目標2030年晶片產量提升20%

快科技9月22日消息,據央視新聞報導,當地時間21日,歐洲《晶片法案》正式生效。 根據歐盟委員會公告,該方案通過“歐洲晶片計劃”促進關鍵技術產業化,鼓勵公共和私營企業對晶片製造商及其供應商的製造設施進行投資。 據了解,今年7月,歐洲議會通過了《晶片法案》,法案要求,到2030年歐盟晶片產量占全球的份額應從目前的10%提高至20%,滿足自身和世界市場需求。 另一方面為技術目標,要發展先進工藝,包括建設10nm及以下節點FD-SOI試驗線、2nm以下工藝節點FinFET/GAA試驗線、3D異構先進封裝試驗線等。 按照歐盟委員會的說法,歐洲在全球半導體生產市場中所占的份額還不到10%,並且嚴重依賴第三國供應商。 如果全球供應鏈嚴重中斷,歐洲工業部門可能會在短時間內耗盡,導致歐洲工業陷入停滯。 根據晶片法案,到2030年歐盟將匯集來自歐盟機構和各成員國111.5億歐元公共投資,並將利用大量私人投資。 來源:快科技

Intel發明全新玻璃基板封裝:互連密度提升10倍

製程工藝不斷提升的同時,整個半導體行業還在持續研究各種先進封裝技術,二者結合打造越來越龐大、強大的晶片。 Intel在先進封裝技術方面尤其有著悠久的歷史和豐富的成果,早在20世紀90年代就引領從陶瓷封裝向有機封裝過渡,率先實現無鹵素、無鉛封裝,EMIB、Foveros、Co-EMIB如今都已經投入實用,Foveros Direct、Foveros Omni也已經做好了准備。 現在,Intel宣布率先推出面向下一代先進封裝技術的玻璃基板,計劃在未來幾年內推出相關產品,可在單個封裝內大大增加電晶體數量、提高互連密度,使得合作夥伴與代工客戶在未來數十年內受益。 玻璃基板組裝晶片的一側 玻璃基板測試晶片 目前普遍採用的有機基板封裝預計會在2020年代末期達到電晶體縮微能力的極限,因為有機材料耗電量比較大,存在縮微、翹曲的限制。 相比之下,玻璃具有獨特的性能,比如超低平面度(也就是極為平整)、更好的熱穩定性和機械穩定性。 使用玻璃材料製成的基板,具有卓越的機械、物理、光學特性,可以在單個封裝中連接更多電晶體,提供更高質量的微縮,並支持打造更大規模的晶片,也就是系統級封裝。 玻璃基板測試單元 Intel CEO基辛格展示玻璃基板測試晶圓 Intel表示,玻璃基板更高的溫度耐受可使變形減少50%,便於更靈活地設置供電和信號傳輸規則,比如無縫嵌入光互連、電容、電感等器件。 同時,玻璃基板極低的平面度可改善光刻的聚焦深度,整體互連密度有望提升多達10倍,還能實現非常高的大型晶片封裝良率。 Intel的目標是到2030年實現單個封裝內集成1萬億個電晶體,玻璃基板將是推動這一目標落地的強有力支持。 來源:快科技

Intel史上最大變革 酷睿Ultra架構、技術深入解讀:一分為四絕了

前言:這次是酷睿Ultra 不是14代酷睿 8月底去了趟馬來西亞,一方面,另一方面參加了Meteor Lake技術分享,全面了解了第一代酷睿Ultra處理器的架構設計、技術特性。 現在,終於可以和大家分享了! 首先再“科普”一下1代酷睿Ultra、14代酷睿的關系,因為Intel這次的產品和命名體系確實有點混亂,別說普通玩家,很多業內人士也一直分不清…… 今年6月15日,Intel正式公布了全新的酷睿Ultra品牌,第一代產品代號Meteor Lake,採用全新的Intel 4製造工藝和封裝技術、全新的分離式模塊化架構、全新的CPU架構與3D高性能混合架構、全新的銳炫GPU核顯、全新的NPU AI引擎,可以說是Intel第一顆微處理器4004 1971年誕生以來,變革最大的一代。 不過比較可惜,Intel 4工藝第一次登場和之前的14nm、10nm有些類似,性能上還未達到足夠高的水準,所以只能用於筆記本移動平台的主流H系列、低功耗P系列,分為酷睿Ultra 9/7/5三個子系列。 對於桌面S系列、頂級遊戲本HX系列,將在原有Raptor Lake 13代酷睿的基礎上進行升級,包括增加E核並擴大緩存、提升頻率、加速內存等,也就是Raptor Lake Refresh 14代酷睿,繼續使用LGA1700接口,繼續兼容600/700系列主板,分為酷睿i9/i7/i5/i3四個子系列。 此外,超低功耗的U系列也會是13代酷睿升級版,但命名為一代酷睿(注意沒有任何後綴),分為酷睿7/5/3三個子系列。 今天的主角,就是Meteor Lake酷睿Ultra,但這一次,我們只講它的架構設計、製造和封裝工藝、技術特性。 具體的型號命名、規格參數、性能跑分,將在12月14日正式發布的時候公開。 14代酷睿預計還是分為兩步走,其中高端的K/KF系列下個月首先登場,主流和低功耗系列大機率也要到CES 2024。 來源:快科技

Intel酷睿明年換新接口LGA1851 20A工藝媲美2nm

Intel原本計劃在今年的Meteor Lake也就是一代酷睿Ultra上更換新的封裝接口LGA1851,但因為Intel 4工藝不夠給力,Meteor Lake-S桌面版最終被取消。 從曝光的樣品上看,封裝接口確實是新的。 明年,Intel將推出下一代Arrow Lake,預計叫做二代酷睿Ultra,製造工藝升級為全新的Intel 20A,首次進入埃米時代,可以粗略地理解為等效於2nm。 Arrow Lake終將用上LGA1851接口,屆時還會有新的主板晶片組,不出意外將是800系列。 Igor'sLAB現在拿到了LGA181接口的設計圖紙,並據此製作了接口插座和主板晶片組的3D渲染圖,非常直觀。 插槽俯視圖 插槽正面側視圖 插槽背面側視圖 、 晶片組正面圖 晶片組背面圖 LGA1851平台預計會拋棄對DDR4內存的支持,PCIe 5.0總線通道從16條增加到20條,可以滿足一塊顯卡、一塊或多塊SSD的需求。 不過同時,主板晶片組仍然沒有PCIe 5.0,但會把PCIe 4.0通道數翻番到24條,同時砍掉PCIe 3.0。 來源:快科技

Emerald Rapids將於12月上市,英特爾展示288核心的Sierra Forest

英特爾在美國加利福利亞州聖何塞舉辦的「Intel Innovation」峰會上,介紹了其新版至強(Xeon)處理器路線圖。英特爾CEO帕特-基爾辛格(Pat Gelsinger)表示,人工智慧(AI)代表著新時代的到來,正在催生全球增長的新時代,其中算力起著更為重要的作用,讓所有人迎來更美好的未來。 據Wccftech報導,英特爾代號「Emerald Rapids」的第五代至強可擴展處理器將會在今年12月14日上市,兼容現有的Eagle Stream平台。其依然採用Intel 7工藝,使用Raptor Cove架構核心,預計會有5%到10%的IPC提升,核心數量增加至64個,配備320MB的L3緩存和128MB的L2緩存。 未來至強系列處理器將分為P-Core和E-Core兩個系列產品線,前者就是之前傳統的至強系列,後者是新增加的能效架構,將提供更好的電源效率。明年英特爾的P-Core系列產品線還將帶來代號為「Granite Rapids」的第六代至強可擴展處理器,改用Intel 3工藝製造,使用Redwood Cove架構核心。E-Core系列的初代產品便是Sierra Forest,同樣採用Intel 3工藝製造,將於2024年上半年上市。這次英特爾還宣布了會有新款Sierra Forest晶片,擁有288個核心。 Granite Rapids和Sierra Forest共享相同的整體設計,前者可以在中介層的中間位置放置1到3個計算模塊,另外還有兩個I/O模塊。這次公布的Sierra Forest在中介層的中間位置放置了2個計算模塊,以達288個核心。到了2025年,英特爾還會帶來第二代E-Core系列產品Clearwater Forest,採用Intel 18A工藝製造,將配備更多的核心。Granite Rapids、Sierra Forest和Clearwater Forest都將兼容新的Birch Stream平台,提供LGA 7529和LGA 4710兩種插座。 英特爾還宣布了其數據中心和人工智慧產品組合,其中包括了Gaudi2/3加速器、第四/五代至強可擴展處理器、以及Granite...

英特爾表示會將3D堆疊緩存帶到小晶片設計,與英偉達在代工服務上存在合作機會

要說近兩年AMD在處理器上最讓人贊賞的新技術,相信不少玩家都會選擇3D垂直緩存(3D V-Cache)技術,在部分工作負載中帶來了大幅度的性能提升,使其在與英特爾處理器的競爭中占據了優勢。 據TomsHardware報導,英特爾CEO帕特-基爾辛格(Pat Gelsinger)在「Intel Innovation」峰會的創新主題演講結束後,與媒體進行了交流提及了產品陣容中實現3D堆疊緩存的問題,並再次談到了與英偉達潛在的合作機會。 當你提到V-Cache時,你談論的是一種非常具體的技術,台積電也在與它的一些客戶合作。很明顯,我們的做法與眾不同,對吧? 在我們的路線圖中,你會看到3D晶片的概念,我們會在一個晶片上安裝緩存,然後在上面的堆疊晶片上安裝CPU的計算模塊,顯然,通過使用EMIB和Foveros先進封裝技術,我們將能夠實現不同的功能。 我們感覺非常好,我們擁有下一代內存架構的先進能力、3D堆疊的優勢,既能用於小晶片,也能用於人工智慧和高性能伺服器的超大封裝。 因此,我們擁有全面的這些技術。 我們將在自己的產品中使用這些技術,同時也會向英特爾代工服務(IFS)的客戶展示。 帕特-基爾辛格(英特爾CEO)目前台積電負責製造及封裝英偉達的數據中心GPU,不過一直無法滿足市場的需求,供應短缺很可能會延續到2025年。為了確保按時交貨,英偉達近期開始尋找替代廠商,三星一直努力爭取這方面的訂單。事實上,英特爾也是其中一個潛在的選擇,帕特-基爾辛格也看到了其中的機會。 有跡象表明,英特爾和英偉達可能在未來晶片開發上建立更為緊密的關系,不過敲定交易還需要一段時間。在今年早些時候的Computex 2023活動中,黃仁勛曾表示正努力實現晶片製造的多元化,已收到英特爾的代工服務製造的測試晶片,結果看起來不錯。從理論上講,英特爾甚至有可能將自己的CPU與英偉達的GPU結合在一個封裝中。 ...

英特爾展示全球首款採用UCIe連接的晶片:包含Intel 3和N3E打造的模塊

英特爾CEO帕特-基爾辛格(Pat Gelsinger)在美國加利福利亞州聖何塞舉辦的「Intel Innovation」峰會上,展示了世界上首個採用UCIe連接的晶片,代號「Pike Creek」。 據TomsHardware報導,這顆採用UCIe連接的晶片帶有採用英特爾自家Intel 3工藝製造的UCIe IP模塊,同時還有台積電(TSMC)N3E工藝製造的新思科技(Synopsys)UCIe IP模塊,兩個模塊之間通過英特爾EMIB先進封裝技術進行連接。 2022年3月,Advanced Semiconductor Engineering, Inc. (ASE)、AMD、Arm、谷歌雲、英特爾、Meta、微軟、高通、三星和台積電宣布建立UCIe聯盟,以打造小晶片生態系統,制定小晶片互聯標准規范,讓不同廠商的模塊可以協同工作。目前最新的是UCIe 1.1規范,其中包括了針對汽車應用的其他增強功能,除了支持標準的2D封裝外,還支持更為先進的2.5D封裝,比如英特爾的EMIB和台積電的CoWoS等。 UCIe全稱為Universal Chiplet Interconnect Express,即通用小晶片互連通道,這是一種開放的行業標准,旨在封裝級別建立互連。UCIe聯盟希望可以建立一個晶片到晶片的互聯標准,並培育一個開放的小晶片生態系統,以滿足客戶對可定製的封裝級集成的需求,連接來自多個供應商的晶片。在最早的UCIe 1.0里,涵蓋了晶片到晶片之間的I/O 物理層、協議和軟體堆棧等,並利用了PCI Express(PCIe)和Compute Express Link(CXL)兩種高速互連標准。 ...

英特爾確認2024-2025年客戶端CPU陣容:Arrow/Lunar/Panther Lake相繼亮相

英特爾在美國加利福利亞州聖何塞舉辦的「Intel Innovation」峰會上,確認了首款採用Intel 4工藝的Meteor Lake處理器將會在今年12月14日上市。同時英特爾CEO帕特-基爾辛格(Pat Gelsinger)在創新主題演講中,還介紹了未來兩年的客戶端處理器路線圖。 下一代的Arrow Lake將覆蓋桌面和移動平台,主要側重於提供更高的功率和性能,採用了與Meteor Lake相同的設計方法,不過會改用更新的Intel 20A工藝製造。據TomsHardware報導,帕特-基爾辛格展示了採用Intel 20A工藝打造的Arrow Lake晶圓,這一定程度上是對過去有關改用台積電N3工藝流言的回應。 根據IDM 2.0戰略,英特爾計劃在四年內實現五個製程節點,而Intel 20A處於計劃中的第四個節點,將引入RibbonFET和PowerVia兩大突破性技術。其中RibbonFET是對Gate All Around電晶體的實現,將成為英特爾自2011年推出FinFET以來的首個全新電晶體架構。該技術加快了電晶體開關速度,同時實現與多鰭結構相同的驅動電流,但占用的空間更小。PowerVia是英特爾獨有的、業界首個背面電能傳輸網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。 Lunar Lake是英特爾下一代低功耗架構,進一步提升人工智慧加速效率,並對Meteor Lake和Arrow Lake的多晶片設計做了改進,計劃在面向移動平台的酷睿Ultra第2代處理器Arrow Lake之後發布。Lunar Lake將採用Lion Cove架構的P-Core和Skymont架構的E-Core,全新的微架構將提供突破性的每瓦性能優勢,同時會採用Intel 18A工藝製造,標志著該技術的首次商業應用。 Panther Lake計劃最早於2024年第一季度試產,將採用Cougar Cove架構的P-Core,以Intel 18A工藝製造。Panther...

Intel AI軟硬秀肌肉:酷睿Ultra發布時間官宣 明年更有288核心

快科技現場報導:2023年9月20日凌晨,Intel在美國加州聖何塞舉辦第三屆Intel on技術創新大會,上演了一場AI的盛宴。 通過一系列創新的技術和產品,從雲端到網絡,從邊緣計算到消費者客戶端,Intel AI正無處不在,為各種各樣的工作負載、應用場景提供加速。 Intel CEO帕特·基辛格在開幕主題演中表示:“AI代表著新時代的到來,讓所有人迎來更美好的未來。對開發者而言,這將帶來巨大的社會和商業機遇,以創造更多可能,為世界上的重大挑戰打造解決方案,並造福地球上每一個人。” 基辛格特別強調了AI對於“芯經濟”(Siliconomy)的強力推動——芯經濟由Silicon(矽)、Economy(經濟)兩個詞組合而成,代表在晶片和軟體推動下不斷增長的經濟形態。 半導體正是維系和促進現代經濟發展的核心源動力,而更充足、更強大、更具性價比的處理能力,是經濟增長的關鍵組成。 數據顯示,全球晶片產業價值已經達到5740億美元,而由此驅動的技術經濟(Tech Economy)價值約有8萬億美元,也就是晶片產業可以給經濟發展帶來多達14倍的成效。 在這個無處不AI的時代,要想把AI真正落到實處,強大的硬體算力、豐富的開發工具這兩只手都必須足夠強有力,而這種軟硬兼施的實力,正是Intel的看家本領。 硬體方面,從通用CPU處理器,到GPU加速器,到集成NPU單元,再到AI加速器,Intel都在全范圍擁抱AI。 代號Meteor Lake的第一代酷睿Ultra處理器,將於12月14日正式發布,首次集成NPU神經網絡單元,拉開AI PC時代的大幕,通過雲與PC的協作,從根本上改變、重塑和重構PC體驗。 酷睿Ultra還是Intel首次採用Foveros封裝技術、Chiplet芯粒設計的消費級處理器,還會首次採用Intel 4製造工藝,並集成獨顯性能的銳炫核顯。 大會現場,Intel展示了全新AI PC的眾多使用場景。 比如正在視頻會議中的時候,如果有人來訪,酷睿Ultra PC就會智能提醒你。 在你起身離開電腦、與客人說話的時候,PC會自動將兩個場景分開,視頻會議中的參會者聽不到你和客人的對話,客人也聽不到視頻會議的內容,互不打擾。 當你回到視頻會議中,PC就會自動提煉你離開時的會議內容,甚至幫你翻譯不同的語言。 所以,摸魚終極神器? 比如非常流行的AI對話與輔助工具,在酷睿Ultra PC上既可以通過GPT聯網運行,也可以通過Intel OpenVINO離線運行。 在離線狀態下,無論是幫你回答日常問題,還是提煉工作內容,包括撰寫郵件,都不在話下。 創業公司Rewind甚至可以幫你在視頻中搜索過往內容。 事實上,不少AI創業公司已經在使用酷睿Ultra加速自己的業務。 比如Deep Render,開發了全球首個實時神經視頻壓縮技術,可以利用AI加速,獲得同等碼率下更清晰的視頻畫質。 宏碁也展示了一款基於酷睿Ultra處理器的筆記本,在輕薄的身材下就可以輕松完成各種AI任務。 宏碁COO高樹國表示,宏碁與Intel團隊合作,通過OpenVINO工具包,共同開發了一套宏碁AI庫,可以充分釋放酷睿Ultra平台的性能潛力。 Intel還大方地公布了酷睿處理器後續路線圖,明年將會看到下一代Arrow Lake,升級為Intel 20A製造工藝,並現場展示了一批測試晶片。 這將是Intel首個應用PowerVia背面供電技術、RibbonFET全環繞柵極電晶體的製程節點,意義重大,將按計劃在2024年做好投產准備。 再往後的Lunar Lake繼續使用Intel 20A工藝,預計重點升級架構。Intel甚至全球首次現場展示了Lunar Lake的實際運行,表明進展相當順利。 繼續往後是Panther Lake,製造工藝繼續升級為Intel 18A,將在2024年拿到實驗室樣片,2025年推向市場。 在伺服器和數據中心端,12月14日將會正式發布代號Emerald Rapids的第五代可擴展至強,也就是和酷睿Ultra同一天。 Emerald Rapids可以視為現有第四代Sapphire Rapids的一個升級版本,平台兼容,Chiplet設計由四晶片簡化為雙晶片,但增加到最多64核心128線程,在同樣的功耗水平下提供更高的性能和存儲速度。 2024年上半年,Intel將推出全部採用E核能效核的Sierra Forest,此前披露最多144核心144線程,現在又宣布,Sierra...

英特爾詳細介紹Intel 4製程工藝,稱Foveros封裝技術實現40年來重大架構變革

近日在英特爾馬來西亞科技巡展上,英特爾邏輯技術開發副總裁Bill Grimn詳細介紹了Intel 4製程工藝。根據IDM 2.0戰略,英特爾計劃在四年內實現五個製程節點,包括而Intel 4處於計劃中的第二個節點,將用於即將到來的Meteor Lake,也就是新一代面向移動平台的酷睿Ultra第1代處理器。 Intel 4將採用了EUV(極紫外)光刻技術,可使用超短波長的光,改善良品率和面積微縮,從而實現高能效,而且可應用EMIB和Foveros封裝技術,相比Intel 7可提供翻倍的電晶體密度,也為接下來的Intel 3奠定基礎。目前正在開發過程中的Intel 3將帶來密度更高的設計庫,增加驅動電流的電晶體並降低通孔電阻,其將更多地使用EUV光刻技術。 與Intel 7相比,Intel 4實現了兩倍的面積微縮,帶來了高性能邏輯庫,並引入了多個創新,包括引入EUV光刻技術,大幅簡化了互連架構的製程工藝,同時還支持微縮,使得Intel 4中的掩碼減少了20%,工藝步驟減少了5%;針對高性能計算應用進行了優化,可支持低電壓(<0.65V)和高電壓(高於1.1V)運行,相比Intel 7,Intel 4的ios功率性能提高了20%以上;另外高密度(金屬-絕緣體-金屬)電容器實現了卓越的供電性能。 Meteor Lake採用分離式模塊架構,由四個獨立的模塊組成,其中計算模塊首次採用Intel 4製程工藝打造,這也是首款內置神經網絡處理單元NPU的英特爾處理器。Meteor Lake的獨立模塊將通過Foveros先進封裝技術連接,利用高密度、高帶寬、低功耗互連,能夠把多種製程工藝製造的諸多模塊組合成大型分離式模塊架構組成的晶片復合體。 Foveros先進封裝具有諸多優勢,包括36u凸點間距,跡線寬度小於1微米;凸點密度提高近8倍;跡線長度小於2毫米;160GB/s/mm帶寬;功耗小於0.3 pJ/位。相比於Raptor Lake,Meteor Lake通過Foveros先進封裝使得低功耗晶片互連最大限度地減少分區開銷,同時小區塊提高了晶圓良率,初制晶圓更少,而且能夠為每個區塊選擇理想的矽工藝。 據英特爾封裝、組裝和測試技術開發高級總監Pat Stover介紹,英特爾通過包含五個步驟的工藝組裝Meteor Lake: 切割 -...

Meteor Lake架構詳解:全新LP E-Core和NUC引入,讓PC進入高能效AI時代

兩年前推出的Alder Lake處理器可以說是Intel的一次重大的技術革新,這是混合架構x86處理器首次大規模推向消費市場,它和它的後繼者Raptor Lake可以說是相當成功的產品,也為後續產品打下基礎。而今天在英特爾on技術創新大會上推出的Meteor Lake處理器採用分離式模塊架構,由四個獨立的模塊組成,並通過Foveros 3D封裝技術連接,其計算模塊首次採用Intel 4製程工藝打造,使Meteor Lake成為Intel史上能效最高的客戶端平台。 同時Meteor Lake也是首款內置神經網絡處理單元NPU的Intel CPU,這也就意味著在未來搭載著Meteor Lake的筆記本在大規模售出以後,會為人工智慧在PC端實現非常好的普及化的這樣一個動作。 接下來我們來快速的看下組成Meteor Lake的四個模塊: 本次會議對於計算模塊所透露的信息並不多,已知的是它將採用Intel 4製程工藝,包含6個P-Core與8個E-Core,性能核架構升級至Redwood Cove,能效核升級至Crestmont架構,依然使用環形總線。 SOC模塊裡麵包含了全新的低功耗島E-Core,用與新型低功耗負載,進一步優化節能與性能間的平衡。NPU也整合在SOC模塊內,還有包括一些常規的連接模塊,Wi-Fi 6E和藍牙模塊是整合在SOC模塊內的,如果想用Wi-Fi 7則需要外接,以往整合在核顯裡面的媒體處理計算單元現在也整合到了SOC模塊內,還包含顯示輸出單元和內存控制器,支持8K HDR和AV1格式的視頻編解碼,支持HDMI 2.1與DP 2.1的視頻輸出,這個SOC模塊就相當於一個迷你的小CPU。 圖形模塊採用了Intel最新的ARC圖形架構,Meteor Lake能夠提供上一代兩倍的圖形性能,能夠在核顯中提供獨顯級別的性能,支持光線追蹤,有更全面的DX12功能集。 IO模塊就如它的名字那樣是用來提供IO擴展的,內部整合了Thunderbolt 4和PCI-E 5.0控制器,還有視頻輸出的一部分也在IO模塊裡面,為IO擴展提供了非常好的靈活性。 神經網絡處理單元NPU 其實現在處理器裡面的CPU和GPU都能實現AI運算,然而AI任務也分很多種,而Meteor Lake新引入的NPU則是為了更好的分擔不同的AI任務,讓不同的處理器單元在多種AI任務中實現性能核功耗的平衡: GPU具有性能並行性和高吞吐量,非常適合在媒體、3D應用程式和渲染管道中引入AI功能。NPU是一種專用的低功耗AI引擎,用於持續AI運行和AI卸載。CPU具有快速響應能力,非常適合輕量級、單推理、低延遲的AI任務。 CPU、GPU、NPU都是很合適的AI引擎,有各自不同的特徵,NPU是個專屬的AI低功耗的引擎,適合那些持續性的AI任務,比如進行電話時開啟的AI攝像頭效果就相當適合NPU。 NPU由一個多引擎架構組成,該架構配備兩個神經計算引擎,可以共同處理單一工作負載或各自處理不同的工作負載。在神經計算引擎中,有兩個主要的計算組件: 推理管道:這是高能效計算的核心驅動因素,通過最大限度地減少數據移動並利用固定功能運作來處理常見的大計算量任務,可以在神經網絡執行中實現高效節能。絕大多數計算發生在推理管道上,這個固定功能管道硬體支持標準的神經網絡運作。該管道由一個乘積累加運算(MAC)陣列、一個激活功能塊和一個數據轉換塊組成。SHAVE DSP:這是一款專為AI設計的高度優化的VLIW DSP(超長指令字數位訊號處理器)。流式混合架構向量引擎(SHAVE)可以與推理管道和直接內存訪問(DMA)引擎一起進行管道化,實現在NPU上並行進行的真正異構計算,從而最大限度地提高性能。DMA引擎:該引擎能夠優化編排數據移動,實現最高的能效和性能。 NPU的驅動是符合微軟新出的MCDM驅動框架的,所以能夠在任務管理器裡面就能夠看到NPU,它就像CPU、GPU一樣能夠在任務管理器顯示它的工作負載,這點和友商是不一樣的。 Meteor Lake的GPU、NPU、CPU都可以承載AI算力,而且可以相互協同工作,以Stable Diffusion負載為例,如果把Unet、VAE都跑在CPU上的時候,在中間Unet上跑20步,花了43秒,功耗是40W。如果全部跑在GPU上,耗時 14.5秒,功耗是37W。如果以把正負Unet中間的部分跑在NPU上,其他的用CPU來跑,時間縮短到20.7秒,功耗降至10W。如果把正向提示詞的Unet跑在GPU上面,負向提示詞的Unet跑在NPU上,用時縮短到11.3秒,因為有GPU的參與,所以功耗為30W。 可見在NPU的介入下,AI負載的功耗大幅下降,整體性能也要優於純CPU或純GPU負載,整體能耗比大幅提升。 SOC模塊 Meteor Lake為了達成高性能計算和低功耗做了重大的架構更改,上圖是Alder Lake和Raptor Lake的框架圖,基本上所有東西都掛在環形總線上,CPU核心、GPU或者媒體引擎要訪問內存的時候都要穿過環形總線,對於內存訪問來說是一個非常高效的方案。 但在節能方面就不怎麼好了,環形總線上的任何區塊去訪問內存的時候,會把一些在該應用場景下不需要的計算單元激活,就會產生較高功耗,比如在流媒體播放時,GPU是不需要被打開的,但是由於這樣的一個結構,使得媒體引起要對內存訪問的時候,必須要把Ring整個打開。 在Meteor Lake上為了解決這一問題,Intel把媒體引擎從GPU中剝離,現在GPU在獨立的圖形模塊上,媒體引擎整合在SOC模塊裡面,Ring總線現在只用在計算模塊中,SOC模塊有自己的總線,這樣他們之中其中一個要訪問內存時,都不需要把別的其他模塊供電激活了。 比如在播放流媒體視頻時,只需要激活內存控制器、媒體引擎以及顯示模塊,理論上無需激活GPU與計算單元,以此實現節能的目的。 SOC模塊裡面還有兩個LP E-Core,它們的工作頻率非常低,但能效比很高,可以承擔一些對CPU需求較低的負載,比如流媒體播放,這樣就不需要激活計算模塊了。 電源管理也重新進行設計,不同模塊裡面都有分立的電源管理控制器在內部,在SOC上面有一個主要的P單元,它對整個SOC進行電源管理,通過跟不同模塊上的分電源管理器進行溝通,這個架構為Meteor Lake提供了很多新的電源管理功能,為將來的晶片設計上的電源管理奠定了非常好的基礎。 上圖是Meteor Lake架構SOC模塊的方塊圖,可以看到裡面有兩個總線,上面的是的Scalable fabric,也稱為NOC,它的帶寬高達128GB/s,響應速度也很快,夠讓掛在上面的所有的設備去快速、低功耗的訪問整個內存。 可以看到外部的計算模塊和圖形模塊也掛在NOC上面,在SOC內部,包括LP E-Core,內存控制器、多媒體引擎、顯示模塊、NPU、IPU都掛在NOC總線上。 下面那個總線是IO fabric,外部的IO模塊接在這總線上,SOC內部的PCI-E、SATA、USB、Wi-Fi、乙太網、音頻、傳感器以及兩個負責安全的區塊也連接在這總線上,IO fabric與NOC總線之間由IOC模塊進行交互,這兩條總線連接了整個SOC模塊,甚至說它們連接了Meteor Lake所有的關鍵部件。 此外我們可以看到IO模塊和SOC模塊都是有PCI-E控制器的,區別在於IO模塊是可以提供PCI-E 5.0的,而SOC模塊只提供PCI-E 4.0,具體line數量目前還沒公開。 利用先行的Foveros封裝技術,模塊間通信帶寬基本就是內存級的帶寬,速度相當之快,延遲也很低,是一個非常低功耗、高性能的一個互連結構。 由於SOC模塊引入了兩個LP E-Core,現在一個完整的Meteor Lake是由6個P-Core,8個E-Core和2個LP E-Core所構成,上圖是三種核心的能耗表現,橫坐標是功耗,縱坐標是性能,當功耗低於一定程度的時候P-Core的性能表現就不如E-Core,同理在某個功耗點上LP E-Core的性能表現會優於E-Core,所以硬體線程調度器需要更新。 在Meteor Lake的硬體線程調度器增強了對OS的反饋,在其他IP占用功耗的時候,核心的功耗會被動態分配,把這個條件也考慮在內,更加精準的報告我們整個核心和每個Core的能力。Meteor Lake能夠更准確的去做內部能耗比的評估和判斷,提供更加精確的表格給到OS。 在系統層面,結合各個系統的操作模式、軟體的操作模式,包括對應的平台的硬體特徵等等,全部納入到控制邏輯當中,對核心做更優的控制。由於需要系統的配合,所以Meteor Lake建議搭配最新的Windows系統使用,也就是Windows 11 23H2。 圖形模塊與媒體單元 Meteor Lake的GPU被移動到獨立的顯示模塊上,用的是Xe-LPG架構,它是在現有Xe-LP核顯架構上發展而來的,並引入了Arc A系列獨顯的一些技術。 除了顯示模塊的GPU外,Meteor Lake的多媒體引擎與顯示引擎都移到了SOC模塊裡面,IO模塊上也有顯示的物理層負責視頻信號的輸出。 與上一代的核顯相比,Meteor Lake的GPU擁有更高的主頻,電壓也更低,Xe核心從6個增加到8個,共128個矢量引擎,增長了33%,幾何圖形渲染管線數量翻倍,有更高的像素與采樣能力,並且加入了8個光追單元,現在Intel的核顯也支持光線追蹤了。 各種改進讓核顯性能較上代番了一倍 多媒體引擎也有升級,現在最高支持8K 60Hz...

AMD發布EPYC 8004系列處理器:96個Zen 4c核心、不可思議高能效

經過連續四代的演進疊代,AMD EPYC處理器越發強大,而且枝繁葉茂,Zen 4家族就分成了四個不同的子系列。 首發的是EPYC 9004系列標准版(代號Genoa),適合通用計算,Zen 4架構,最多96核心192線程。 然後是3D V-Cache堆疊緩存加持的EPYC 9004X系列(代號Genoa-X),針對超高性能計算,原生384MB加堆疊768MB,總緩存容量最多達1152MB。 還有繼續高能效Zen 4c核心的EPYC 9X74系列代號Bergamo ,特別為雲原生應用優化,最多128核心256線程。 現在,Zen 4 EPYC家族終於補齊最後一塊拼板:Siena EPYC 8004系列來了! EPYC 8004系列主要面向零售、製造、電信等智能邊緣應用,以及雲服務、存儲等數據中心應用,可以幫助客戶打造高能效的差異化平台。 EPYC 8004系列和EPYC 9X74系列一樣都採用了特別設計的高能效Zen 4c架構,性能與能效更加平衡,系統設計也有相當大的靈活性。 同樣是5nm工藝下,Zen 4核心加上二級緩存的面積為3.84平方毫米,Zen 4c核心經過精簡後加上二級緩存只有2.48平方毫米,縮小了足足35%。 但是,Zen 4c核心保留了完全相同的ISA指令集、IPC性能,技術特性完全相同,一二級緩存也絲毫沒有差異(每核心64KB/1MB),只是三級緩存縮小了而已(每組CCD 32MB),因此對於系統和軟體應用來說,Zen 4、Zen 4c是等價的,無需特別適配。 EPYC...
開源CPU RISC-V總部遷往瑞士 不受美國鉗制 技術更中立

蘋果A19 Pro首發 台積電辟謠「寶山2nm廠延期量產」:廠區依規劃建設中

快科技9月19日消息,近日有報導稱台積電寶山2納米廠量產將從原定的2025年下半年延至2026年。 對此,台積電最新回應稱,目前廠區建設依規劃進度進行中。 根據台積電的規劃,竹科寶山和中科是2納米製程的生產基地,8月進一步決定高雄廠也將導入2納米製程。 此前台積電副總經理張曉強曾透露,目前256Mb SRAM晶片已經可以做到50%良率以上,目標則80%以上。 據了解,台積電2nm工藝會放棄FinFET電晶體工藝,轉向GAA電晶體,相較於N3E工藝,N2在相同功耗下速度增快10%-15%,或在相同速度下功耗降低25%-30%,不過電晶體密度提升就只有10-20%了。 不過技術先進的代價就是2nm代工價格越來越貴,在3nm漲價到2萬美元的基礎上,2nm代工一片晶圓的價格是2.5萬美元,超過18萬元人民幣。 如果一切順利的話,蘋果將依然會拿下首發,並幾乎吃下前期所有的產能。 首發產品自然是iPhone 17上將會搭載的A19系列晶片,從目前iPhone 15搭載的A17 Pro來看,屆時將會是A19 Pro來首發2nm工藝。 來源:快科技

AMD完善第四代EPYC家族,推出專為雲服務、智能邊緣和電信打造的AMD EPYC 8004處理器

—全新處理器得到了Dell Technologies, Ericsson, Lenovo, Supermicro等廠商的支持,且經過Microsoft Azure Stack HCI的認證,採用經過優化的單插槽封裝,可提供卓越的能效和強大的性能— 2023年9月18日,加利福尼亞州聖克拉拉訊 – AMD(超威,納斯達克股票代碼:AMD)今日宣布推出全新AMD EPYC(霄龍) 8004系列處理器,進而完善了工作負載優化處理器的第四代AMD EPYC CPU家族。這些全新的處理器採用基於“Zen 4c”核心的專用CPU,可幫助硬體提供商打造高能效的差異化平台,為零售、製造和電信等智能邊緣應用和雲服務、存儲等數據中心應用提供動力。 AMD 高級副總裁,伺服器事業部總經理Dan McNamara表示:“全新EPYC 8004系列處理器憑借在單插槽平台上帶來的出色的CPU能效,能夠在空間和功耗有限的情況下滿足基礎設施需求,從而擴大AMD在該領域的領先地位。AMD已經推出了多代數據中心處理器,提供了卓越的能效、性能和創新功能。現在隨著第四代EPYC CPU系列全面完善,我們在企業和雲,到智能邊緣、技術計算等一系列工作負載中的領先地位將繼續保持。” 為傳統數據中心和智能邊緣提供效率和性能 AMD EPYC 8004系列處理器讓第四代EPYC家族在性能和效率上的領先進一步擴大,不僅能滿足那些渴望強大性能的市場,與此同時該系列也能滿足對高能效、平台密度和靜音操作有著超高需求的市場。有了AMD EPYC 8004系列處理器,現在客戶和合作夥伴可以期待以下優勢: - 為智能邊緣提供出色的能效:得益於高效的“Zen...

英特爾未來將會在封裝上使用玻璃基板:進一步提升電晶體密度

近日,英特爾官方宣布了可用於未來高性能封裝的玻璃基板技術,其相比於傳統的有機材料基板擁有更好的物理與光學特性,能有效提升封裝的電晶體密度以及良品率,進而降低超大尺寸封裝的功耗和成本。 英特爾方面表示,到本世紀末,有機材料基板可能會迎來技術瓶頸,無法進一步提高電晶體密度的同時,還面臨著容易收縮形變的問題。隨著人們對計算能力的需求不斷提升,半導體行業進入了在單個封裝里塞進盡可能多晶片的時代,有機材料顯然不能滿足設計人員的需求。擁有耐高溫特性的玻璃基板不僅為設計人員提供更靈活的信號布線和電力傳輸解決方案,而且憑借優秀的平面度將光刻圖案失真減少50%,有效改善光刻的聚焦深度,提升封裝的良品率,降低加工成本。此外,玻璃基板還具有利於層對層互連覆蓋的物理結構穩定性,相比有機材料增加了10倍的互連密度,進一步提升封裝的電晶體密度上限,降低封裝功耗。 按照英特爾的計劃,採用玻璃基板的封裝方案有望在未來幾年內推出,並爭取在2030年之前製造出擁有1萬億個電晶體的封裝,屆時將優先應用於大數據處理、人工智慧等專業領域。 ...

AI浪潮中 CPU能做些什麼?AMD EPYC全面開花給出完美答案

這是一個無AI不歡的時代,而日益高漲的算力需求,對各類硬體提出了越來越苛刻的要求,也使得天生具備超強並行計算能力的GPU加速器大放異彩,仿佛成為聖物一般的存在,人們甚至不惜花三十多萬元去搶一塊卡。 但是同時,CPU處理器的光芒卻被完全掩蓋,甚至出現了“GPU可以徹底取代CPU”這樣令人哭笑不得的言論。 事實上,在AI的硬體世界裡,CPU、GPU、FPGA、ASIC都是重要的成員,各有各的特點和優劣,無所謂誰比誰好,只能說需要在最合適的地方使用最合適的硬體,彼此之間也可以有機配合,達到效率的最優化。 其中,CPU的計算性能不是最強的,在處理特定負載時甚至可能是最弱的,但作為計算機行業一直以來的中樞,它有著不可替代的地位,不但扮演著核心指揮官的角色,還在不斷跟隨時代的變化而不斷演進,有著無可比擬的靈活性、適應性。 舉個例子,業內一度普遍認為,生成式AI和大語言模型(LLM)只適合在高性能GPU上運行,但事實上在CPU上同樣有著極高的效率,特別是配合特定加速器,效率與規模不受限制,從而提供極具競爭力的不同選擇。 近些年,在伺服器與數據中心領域,CPU持續快速疊代、升級,無論是AMD EPYC還是Intel至強,每一代都是舊貌換新顏,如今更成為AI浪潮的堅定基石。 尤其是AMD EPYC,2017年誕生重返高性能計算市場以來,憑借優秀的Zen系列架構,性能越來越強,能效越來越高,能力越來越豐富:高性能計算、邊緣計算、人工智慧、雲服務、5G與通信基礎設施、虛擬化……幾乎無所不能。 回想2017年之前,整個數據中心市場被Intel至強完全壟斷,客戶沒有任何選擇空間,Intel提供什麼就只能用什麼,Intel要多錢就只能給多少錢,也難怪AMD EPYC 2017年橫空出世的時候,整個行業的態度幾乎都是“歡迎歸來”。 AMD EPYC也確實沒有令大家失望,經過連續四代的進化,如今擁有業界最高的計算密度、最高的性能、最高的效率,或者直白地說有著最多的核心、最大的緩存、最高的頻率,以及極為豐富的技術特性,更關鍵的是不忘初心,一直堅持極高的性價比,可謂不二之選。 2022年11月11日,一個特殊的日子裡,Genoa EPYC 9004系列正式誕生,而對手規劃的Sapphire Rapids第四代可擴展至強反復跳票接近兩年,不但速度慢得多,性能表現也相去甚遠。 全新的5nm製造工藝、全新的Zen 4架構、Chiplet芯粒布局與最多96核心192線程、最多384MB海量三級緩存、最高4.4GHz加速頻率、12通道DDR5-4800內存(單路最大容量6TB)、128條PCIe 5.0總線、CXL 1.1+高速互連標准、全新升級的加密計算…… 這些亮點,每一個單獨拿出來都值得說道半天,EPYC 9004卻把它們一網打盡,而且還有著相當高的能效,即便是旗艦級的96核心型號EPYC 9654熱設計功耗也只有360W,標准風冷散熱即可輕松搞定。 作為對比,Intel Sapphire Rapids四代至強還是Intel 7製造工藝(原名10nm)、最多60核心120線程與112.5MB三級緩存、4.2GHz最高頻率、8通道DDR5內存(單路最大容量4TB)、80條PCIe 5.0……幾乎全面落於下風,只有各種加速器相當惹眼,但也側面反映了CPU本身能力的欠缺。 實際性能方面,按照AMD在今年6月份舉辦的“數據中心與AI技術首映”上給出的數據,EPYC 9654對比至強鉑金8490H,96核心旗艦對比60核心旗艦,雲服務性能領先1.8倍,企業計算性能領先1.7-1.9倍,能效領先1.8倍,AI性能領先1.9倍,性價比領先近乎2.6倍…… 四代對四代,AMD EPYC明顯碾壓了Intel至強。 如果到這里結束,AMD EPYC的表現已經近乎完美,但它還有著更高的追求,開始面向不同細分市場延伸、深入,通過不同的設計為不同的負載和場景提供最優化解決方案,第一次全面開花。 具體來說,EPYC 97X4系列(Bergamo)通過更高能效的Zen 4c架構,主打雲原生市場; EPYC 9084X系列(Genoa-X)通過集成大容量高速3D...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

全球離不開 張忠謀列台積電無法被超越三大優勢:美國也不行

作為台積電的創始人,張忠謀直言台積電是無法被超越的,即便美國也不行。 快科技9月19日消息,台積電創始人張忠謀在最新的公開講話中表示,台積電的晶圓製造有三大優勢:人才、工程師流動率低、交通方便。這三大優勢美國不具備。 張忠謀表示,台積電製造的晶片占全世界約50%份額,其中先進晶片則占比90%。晶片無處不在,其以蘋果手機舉例,一部蘋果手機就有至少150億個電晶體(目前最新的蘋果A17 Pro晶片,一顆就包含190億個電晶體),而晶片製造也是重要關鍵產業,我們要捍衛領導地位。 作為台積電優勢之一,台積電每年的離職率僅為4%至5%,但是美國在製造業在70、80年代晚期,每年的離職率達到了15~20%,這是非常嚴重的問題。 由於訓練一名工程師需要花費數年的時間,因此如果流動率超過10%,晶圓代工廠便難以維持好業績。另一個關鍵點,便是在任何時候,台積電都有1000或2000名工程師駐扎,這是在美國或任何其它地方都無法做到的事情。 此外,台積電總部所在地,18至22歲年輕人中大學畢業者比例高,而技術人員則有3年制大專學院畢業的,還有非常方便的佳通,這都是不可比擬的。 來源:快科技

AMD發布EPYC「Siena」8004系列處理器:最高64個Zen 4c核心,採用SP6插座

AMD宣布,推出代號「Siena」的EPYC 8004系列伺服器處理器。其瞄準的是單路低端伺服器,專注於密度、性能/功率的優化,面向邊緣和電信領域,致力於實現更高的能效,適用於總擁有成本(TCO)較低的低功耗伺服器。 EPYC 8004系列伺服器處理器基於Zen 4c架構打造,採用了SP6插座。SP6插座與過去常見的SP3插座大致相同,擁有一樣的尺寸(58.5 x 75.4 mm),不過前者具有不同的LGA封裝,引腳數量為4844個,無論是尺寸(76.0 x 80.0 mm)還是引腳數量(6069個),都明顯小/少於SP5插座。 首批EPYC 8004系列伺服器處理器提供了8、16、24、32、48和64個核心,共有12個型號,包括了「P」和「PN」後綴的產品(P代表單路,N代表NEBS/網絡設備系統友好構建),L3緩存在16MB至128MB之間,基礎頻率在2.0 GHz至2.6 GHz之間,加速頻率在3.0 GHz至3.1 GHz之間,TDP則在80W至200W之間,部分型號可配置達到225W。這些處理器可支持6通道DDR5-4800內存,提供最高1.152TB的容量,另外擁有96條PCIe 5.0通道和48條CXL v1.1+通道。 AMD表示,Zen 4系列架構提供了代號Genoa、Genoa-X、Bergamo和Sienna的產品,可以滿足數據中心、雲計算和邊緣計算領域等細分市場對於高核心數量的處理器需求。AMD還與合作夥伴首批推出了三款基於EPYC 8004系列伺服器處理器的系統,包括Dell PowerEdge C6615、聯想ThinkEdge Se455、以及SuperMicro WIO系統。 ...

鴻蒙4.0.0.116發布 華為Mate 60 Pro更新:麒麟9000S完全解鎖

快科技9月19日消息,最新鴻蒙4已經發布,而華為也是向Mate 60系用戶推送中,你收到了嗎? 有不少Mate 60 Pro用戶更新後(鴻蒙OS 4.0.0.116版本)發現,新系統下的麒麟9000S居然解鎖了,CPU核心數識別成了12核。 按照之前曝光的信息看,這款處理器的CPU由1個 2.62GHz 核心+3個2.15GHz核心 + 4個1.53GHz核心組成,集成了全新Maleoon 910GPU,而中核和大核應該支持超線程。 不管怎麼說,隨著後續鴻蒙4系統的持續優化,這款處理器還能迸發出更多驚喜。 目前華為公布的Mate 60 Pro有12GB+512GB和12GB+1TB兩個版本(對應售價6999元和7999元),不過有網友發現,其還會有12GB+256GB版本,價格可能是6499元。 9月25日,華為還有新品發布會舉行,屆時可能會帶來Mate 60的保時捷版本,這應該是該系列最強的存在了,價格至少是萬元起步。 來源:快科技

ES/QS、散片CPU是什麼?一文讀懂

經常裝機的的用戶,肯定對CPU散片不會感到陌生。因為價格通常很低,散片CPU在裝機市場非常受歡迎。而除了散片外,有時候一些二手市場會流通QS版和ES版的CPU,那麼這些CPU是什麼意思呢?今天就來帶萌新用戶探討下。 散片CPU介紹: 首先介紹下散片CPU,傳統的盒裝CPU會被裝在盒子裡,低端一點的型號通常還會附帶一款Intel或AMD散熱器。相較之下,CPU散片就是未經標准包裝的裸CPU,而且也不會帶散熱器。它們通常比盒裝CPU價格更低,主要來自OEM廠商大量訂購後剩下的庫存。 這種散片在性能、使用壽命和超頻能力上與正式版CPU基本一致。考慮到大多數CPU的使用壽命遠超過十年,少量的保修差異其實並不重要。因此,從性價比的角度看,CPU散片是一個很好的選擇。但是散片處理器依舊不適合萌新買,因為售後會有一定的問題。 ES版CPU介紹 “ES”版CPU主要活躍於二手市場。這些CPU不會標注具體型號。那麼這種神秘的“ES”版CPU值不值得購買呢?ES實際上是Engineering Sample(工程樣品)的簡稱,主要是CPU正式發布前用來測試的產品。雖然這些工程樣品原本應當被報廢,作為其實仍然可用來裝機。 隨著不斷的修改和調整,ES版會逐漸趨近於正式版。當CPU-Z軟體能正確顯示其名稱時,這些CPU被稱為QS版或ES正顯版。需要注意的是,ES版在穩定性和功能上可能與正式版有所差距,因此也不建議新手玩家輕易嘗試。 QS版CPU介紹 QS版CPU與在ES類似,但各方面都更接近正式版,甚至有些高級的QS版在穩定性上超過正式版。然而,QS版的價格與散片相近,比ES要貴,不會更便宜。在我看來,QS版可被視為“穩定性稍遜,價格稍優”的散片,也具有一定的購買價值。 不過不管是散片還是QS版和ES版的CPU,都是建議對DIY有協些許了解後在考慮的的產品,不建議萌新直接上手購買。 來源:快科技

創紀錄 蘋果A17 Pro最新跑分:驍龍8Gen 3追不上 單核快把英特爾i9拉下馬

快科技9月17日消息,A17 Pro的性能還在不斷提高,這個從蘋果新機的跑分就能看出來。 現在有博主也是紛紛曬出了iPhone 15系列Geekbench中的最新跑分,搭載A17 Pro的最高跑出了單核2999、多核7779的新記錄。 如果按照這個水平跟A16相比的話,相當於A17 Pro單核提升了13.5%左右,多核提升了11%左右。 對此,有博主表示,驍龍8 Gen 3“大機率是追不上”這個多核成績,不過天璣 9300 頻率暫時未定,“可以努努力”。 按照這個速度優化下去,A17 Pro的Geekbench單核跑分,能把Intel的酷睿i9 14900KF拉下馬。 來源:快科技

Intel 14代酷睿提前上架加拿大:漲價最多7%

,說白了就是13代酷睿升級版,代號就能說明一切——Raptor Lake Refresh。 首批發布的只是高端的K/KF系列,一共六款,分別是8+16 24核心的i9-14900K/KF、8+12 20核心的i7-14700K/KF、6+8 14核心的i5-14600K/F。 之前就有傳聞稱,14代酷睿會漲價。 現在,加拿大零售商PC Canada第一家將14代酷睿擺上了貨架,果然比13代酷睿高了一點,幅度2-7%不等。 其中漲得最高的居然是i9-14900KF,幅度達到了7%。 i7-14700K/KF分別漲了2%、4%,考慮到它倆都多了4個E核,自然是最良心的了。 雖然很多提前上架的標價都是占位符,但是Intel一般都會提前把價格給到大型零售商做准備,而今距離正式發布又只剩下一個月,所以可信度還是很高的。 PS:代號Meteor Lake的酷睿Ultra將在下周公開架構、技術細節,但正式發布還要等到明年初的CES 2024,屆時桌面、筆記本全系列都會登場,一如既往。 來源:快科技

蘋果A17 Pro跑分對比:遙遙領先驍龍8 Gen 2 單核硬剛Intel

隨著蘋果iPhone 15 Pro系列的問世,手機性能再上一個層面,其搭載的蘋果A17 Pro性能可謂秒殺一切安卓、鴻蒙機型。 現在數碼博主“肥威”匯總了幾個主流的跨平台處理器的GeekBench分數對比,包括蘋果上一代A16、驍龍8 Gen 2領先版(超頻版)、酷睿i9-13900K、AMD銳龍9 7950X,甚至把M2 Ultra和最新的14900KF都加進去了。 結果顯示,蘋果A17 Pro多核7199的跑分雖然比其A16的6989提升不大,但依然遙遙領先於驍龍8 Gen 2領先版。可以說,安卓手機一個能打的也沒有! 單核跑分方面,蘋果A17 Pro 3.77GHz的主頻發威,不但相比A16有了明顯提升,甚至超越了蘋果M2 Ultra晶片。即便面對Intel的酷睿i9 14900KF變態的6GHz,單核性能僅落後12%左右。 據了解,蘋果A17 Pro是全球第一款採用3nm工藝的晶片,相比上代A16,這次的A17 Pro處理器製造工藝從台積電4nm升級到台積電3nm,電晶體數量從160億個增加到190億個。 來源:快科技

英特爾第14代酷睿桌面處理器在加拿大上架:定價比上一代產品平均高4%

英特爾即將在美國加利福利亞州聖何塞舉辦的「Intel Innovation」的峰會上帶來第14代酷睿桌面處理器,也就是Raptor Lake Refresh。此前就有報導稱,英特爾將提高第14代酷睿桌面處理器的定價。 目前英特爾新一代桌面處理器已經在PC Canada上架,包括了首批六款K/KF後綴的產品,也就是酷睿i9-14900K/KF、酷睿i7-14700K/KF與酷睿i5-14600K/KF。頁面顯示,新產品在定價上比上一代產品平均高了4%,具體為: 酷睿i9-14900K將會從酷睿i9-13900K的808.99加元漲至833.99加元(約合人民幣4485.95元),漲幅為3%。 酷睿i9-14900KF將會從酷睿i9-13900KF的775.99加元漲至833.99加元(約合人民幣4485.95元),漲幅為7%。 酷睿i7-14700K將會從酷睿i9-13700K的583.99加元漲至597.99加元(約合人民幣3216.53元),漲幅為2%。 酷睿i7-14700KF將會從酷睿i7-13700KF的538.99加元漲至559.99加元(約合人民幣3012.13元),漲幅為4%。 酷睿i5-14600K將會從酷睿i5-13600K的436.99加元漲至453.99加元(約合人民幣2441.97元),漲幅為4%。 酷睿i5-14600KF將會從酷睿i5-13600KF的402.99加元漲至415.99加元(約合人民幣2237.57元),漲幅為3%。 相比於之前傳聞中平均15%的漲幅,經銷商給出的調整幅度要低許多。不過需要說明的是,由於這些產品還沒有正式發布,貼出的價格有可能只是臨時的,等到正式銷售的時候再進行調整。 根據之前的消息,英特爾第14代酷睿處理器的發售日期與評測解禁時間均為2023年10月17日,而廣告解禁會提早一天: 廣告解禁 - 太平洋標准時間2023年10月16日6時(北京標准時間10月16日21時) 發售和評測解禁時間 - 太平洋標准時間2023年10月17日6時(北京標准時間10月17日21時) 相比於第13代酷睿的對標型號,酷睿i7-14700K/KF是變化最大的產品,核心配置從8P+8E增加到8P+12E,L3緩存也從30MB增加到33MB,而酷睿i9-14900K/KF與酷睿i5-14600K/KF變化不大,只是頻率有所提升。 ...
蘋果ARM自研處理器成本曝光 只是Intel的四分之一、續航更長

5nm晶片無需光刻機 中國科技公司已申請製造專利 看完有點奇怪

9月15日,一家中國科技公司申請的“5納米晶片製造的直接蝕刻方法”專利正式公布。 摘要顯示,該發明涉及晶片設計及製造。這項發明的亮點在於,不用EUV光刻機或DUV光刻機,不需要光刻過程,直接蝕刻就可以製造5納米晶片。此專利一經公布,便引起了大量網友的關注。對於申請這項專利的中國科技公司,相信不少網友都很好奇。 申請這項專利的中國科技公司名叫“上海創消新技術發展有限公司”。根據企查查APP提供的信息,上海創消新技術發展有限公司於2019年3月13日正式成立,注冊資本為50萬元人民幣,所屬地區為上海市青浦區,法定代表人為劉明革,他同時也是上海敏革化學科技有限公司的股東和監事,持有上海創消新技術發展有限公司85%的股份,另外15%的股份由“劉佳慧”持有,並沒有什麼大公司持有上海創消新技術發展有限公司的股份。 工商信息顯示,上海創消新技術發展有限公司的注冊資本包括機械技術、電子技術、化工技術、建築技術、生物技術領域內的技術開發、技術轉讓、技術服務和技術咨詢。值得注意的是,這家公司的經營范圍並沒有涉及晶片相關的內容,例如晶片設計和晶片製造。 來源:快科技

英特爾酷睿i9-14900KF現身Geekbench:頻率達6GHz,性能高出前一代產品6%

英特爾即將在美國加利福利亞州聖何塞舉辦「Intel Innovation」峰會上帶來第14代酷睿處理器,也就是Raptor Lake Refresh。近期已經看到很多主板廠商為旗下的600/700系列主板推送新版BIOS,以支持新一代處理器,同時還陸續發布做了針對優化的新款Z790主板。 據VideoCardz報導,一位名為@OneRaichu的硬體愛好者已經使用酷睿i9-14900KF在Geekbench上進行了測試,顯示頻率達到了6GHz。其搭配的是華擎Z790 Taichi主板,以及32GB的DDR5-7000內存。 在Geekbench 6.2中,酷睿i9-14900KF的單線程基準測試成績在3322到3347分之間,多線程基準測試成績在22895到23051分之間。此外,在Geekbench 5.4里,酷睿i9-14900KF的單線程基準測試成績為2412分,多線程基準測試成績為26972分。初步結果來看,酷睿i9-14900KF的多核性能大概比酷睿i9-13900K高出約5到6%,而單核性能大概能提高12%。 ...

AMD 32核心Zen4撕裂者首次現身:一家人齊了

消息稱,AMD將在下個月推出基於Zen4架構的新一代銳龍線程撕裂者PRO系列處理器,升級到最高96核心192線程,繼續無敵。 現在,SiSoftware資料庫里出現了一台戴爾的Precision 7875工作站,處理器正是下一代撕裂者,並可選兩款型號,其一是旗艦級的撕裂者PRO 7995WX,96核心。 另一個是首次看到的撕裂者PRO 7975WX,確認為32核心,基準頻率4.0GHz,二級緩存32MB,三級緩存128MB。 除了它倆,新一代還有64核心的撕裂者PRO 7985WX,以及16核心的撕裂者PRO 7955WX,頻率4.5-5.1GHz。 熱設計功耗統一都是350W,內存全部支持8通道DDR5,擴展全部支持128條PCIe 5.0。 來源:快科技

誕生2.5年後 AMD Zen3 EPYC突然上新 56/48核心真便宜

AMD Zen4架構已經幾乎全面普及,但是Zen3依然有很高的價值,尤其是對於追求性價比的客戶來說,哪怕是在不差錢的企業和數據中心市場。 Zen3架構的第三代霄龍7003 Milan系列是2021年3月發布的,如今一年半過去了,AMD又為它增加了六款新品,主打就是一個高性價比。 首先是EPYC 7663P,它就是EPYC 7663的單路版本,規格參數幾乎完全一致,還是56核心112線程、2.0-3.5GHz頻率、256MB三級緩存、240W熱設計功耗,唯一區別就是可調范圍從225-240W擴大到225-280W。 最關鍵的是千顆批發價從6366美元降至3139美元(約合人民幣2.28萬元),便宜了整整一半。 其次是EPYC 7643P,也就是EPYC 7643的單路版本,同樣是48核心96線程、2.3-3.6GHz頻率、256MB三級緩存、225W TDP(范圍225-240W),價格從4995美元來到2722美元(約合人民幣1.98萬元),便宜了接近一半。 EPYC 7303/7303P和已有的EPYC 7313/7313P都是16核心32線程,但是頻率從3.0-3.7GHz降至2.4-3.4GHz,三級緩存減半至64MB,TDP 155W降至130W(可調范圍降至120-150W)。 價格從1083美元、913美元分別降到了604美元(約合人民幣7310元)、594美元(約合人民幣4325元)。 EPYC 7203/7203P則是最低端的8核心16線程,頻率2.8-3.4GHz,三級緩存僅為64MB,TDP也只有120W(可調范圍120-150W),價格只要348美元、338美元,約合人民幣2530元、2460元。 相比之下,同樣8核心,但頻率高達3.7-4.1GHz、三級緩存256MB的EPYC 72F3則需要多達2468美元。 六款新型號全部保留了8通道DDR4-3200內存、128條PCIe 4.0通道的規格,有著同樣優秀的擴展性。 來源:快科技

誕生2.5年後 AMD Zen3 EPYC突然上新 56/48核心真便宜

AMD Zen4架構已經幾乎全面普及,但是Zen3依然有很高的價值,尤其是對於追求性價比的客戶來說,哪怕是在不差錢的企業和數據中心市場。 Zen3架構的第三代霄龍7003 Milan系列是2021年3月發布的,如今一年半過去了,AMD又為它增加了六款新品,主打就是一個高性價比。 首先是EPYC 7663P,它就是EPYC 7663的單路版本,規格參數幾乎完全一致,還是56核心112線程、2.0-3.5GHz頻率、256MB三級緩存、240W熱設計功耗,唯一區別就是可調范圍從225-240W擴大到225-280W。 最關鍵的是千顆批發價從6366美元降至3139美元(約合人民幣2.28萬元),便宜了整整一半。 其次是EPYC 7643P,也就是EPYC 7643的單路版本,同樣是48核心96線程、2.3-3.6GHz頻率、256MB三級緩存、225W TDP(范圍225-240W),價格從4995美元來到2722美元(約合人民幣1.98萬元),便宜了接近一半。 EPYC 7303/7303P和已有的EPYC 7313/7313P都是16核心32線程,但是頻率從3.0-3.7GHz降至2.4-3.4GHz,三級緩存減半至64MB,TDP 155W降至130W(可調范圍降至120-150W)。 價格從1083美元、913美元分別降到了604美元(約合人民幣7310元)、594美元(約合人民幣4325元)。 EPYC 7203/7203P則是最低端的8核心16線程,頻率2.8-3.4GHz,三級緩存僅為64MB,TDP也只有120W(可調范圍120-150W),價格只要348美元、338美元,約合人民幣2530元、2460元。 相比之下,同樣8核心,但頻率高達3.7-4.1GHz、三級緩存256MB的EPYC 72F3則需要多達2468美元。 六款新型號全部保留了8通道DDR4-3200內存、128條PCIe 4.0通道的規格,有著同樣優秀的擴展性。 來源:快科技

AMD Ryzen Threadripper PRO 7975X現身:32核心64線程

AMD將在2023年下半年推出代號「Storm Peak」的下一代Ryzen Threadripper 7000系列處理器,擁有基於Zen 4架構的內核,對應的TR5平台將引入PCIe 5.0和DDR5內存的支持。根據之前發現的型號和產品代碼(OPN),顯示首批產品至少有三款,分別為Threadripper 7945/7985/7995WX,TDP均為350W。 此前Ryzen Threadripper PRO 7995WX就出現在了Geekbench 5的資料庫中,至少有兩款工作站會使用到這顆擁有96個核心的處理器,分別為惠普的Z6 G5和Dell的Precision 7875,而後者其實在SiSoftware還出現了另外一款處理器,型號為Threadripper PRO 7975WX。 根據系統的信息,Threadripper PRO 7975WX為32核心64線程,L2緩存為32MB,L3緩存為128MB,基礎頻率為4.0 GHz。不過軟體讀取的部分數值可能存在問題,比如TDP顯示為94W。 據了解,AMD新一代Ryzen Threadripper 7000系列處理器將覆蓋16核心到96核心,不過其中部分型號可能是OEM廠商獨有的。有消息稱,AMD計劃在2023年第四季度發布Ryzen Threadripper 7000系列處理器。 ...

酷睿Ultra 7 1002H測試信息曝光:10個能效核心,睿頻達5GHz

今年六月份曾有報導稱,一款名為「Core Ultra 7 1002H」的處理器出現在PugetBench基準測試中,顯示擁有16核心22線程,基礎頻率為3.0 GHz。近日,Ultra 7 1002H處理器再次出現,不過是在Geekbench基準測試里。 Geekbench里顯示,Ultra 7 1002H的基礎頻率為3.4 GHz,與之前的3.0 GHz有所不同,而最高睿頻可達5.0 GHz,另外L2緩存為4MB,L3緩存為24MB。其單核性能成績為2439分,多核性能成績為12668分,與當前的i5-13500H的分數相近。傳聞Ultra 7 1002H處理器配備了兩個低功耗的能效核心,也就是說可能為6個性能核心+8個能效核心+2個低功耗能效核心的設計。 最近一段時間,已知至少有兩款新一代Ultra系列處理器出現在Geekbench上,具體成績如下: Ultra 5 125H擁有14核心18線程,由4個性能核心+8個能效核心+2個低功耗能效核心組成,L3緩存為18MB,睿頻可達4.5 GHz,單核基準測試與多核基準測試的成績分別為2208分和11563分。 Ultra 7 155H擁有16核心22線程,由6個性能核心+8個能效核心+2個低功耗能效核心組成,L3緩存為24MB,睿頻可達4.8 GHz,單核基準測試與多核基準測試的成績分別為2346分和12853分。 ...

快3年了 《賽博朋克2077》終於不再負優化AMD銳龍

《賽博朋克2077》的“渣優化”人所共知,尤其是如果你使用AMD銳龍處理器,會被搞得非常沒脾氣,因為,利用率低下,玩家只能尋找。 很快,《賽博朋克2077》將發布全新的2.0版補丁,這次帶來的變化是空前的,尤其是在發布將近3年之後,終於要結束對AMD銳龍的負優化了。 CDR透露,《賽博朋克2077》更新2.0補丁後,將原生支持AMD銳龍多線程技術,8核心16線程型號的利用率可以高達90%,幾近完美。 如此一來,銳龍7 5800X3D、銳龍7 7800X3D這樣8核心16線程又帶有大容量3D緩存的型號,勢必會迎來一次飛躍。 CDR還表示,12/16核心處理器上最好關閉多線程,但如果願意打開,一般也不會有啥問題。 來源:快科技