Home Tags 極紫外光刻

Tag: 極紫外光刻

三星代工業務遭遇不順 消息稱部分5nm EUV良率低於50%

在全球晶圓代工市場上,台積電是第一大,產能和技術都是領先的,份額超過50%,三星拿下了20%多的份額,先進工藝也能追著台積電跑,5nm工藝也代工了麒麟888。然而最近的消息不太妙,三星的EUV良率遇到麻煩了。 韓國媒體報導,三星電子華城園區V1廠,最近面臨晶圓代工良率改善難題,5nm等部分工藝良率低於50%。 三星華城園區共有V1、S3及S4等晶圓廠,其中V1為EUV專用廠,於2018年動工,2020年2月完工,是全球首座極紫外光(EUV)專用半導體廠,迄今累積投資至少20萬億韓元(約180億美元)。 三星華城EUV晶圓代工產線,主要生產5nm第一代工藝產品,包括三星智慧型手機應用處理器Exynos 1080/2100,以及高通集成5G基帶晶片的驍龍888。 對於傳聞,三星方面表示具體的良率量產不便對外公開,全部產線正按計劃進行生產。 三星官方沒有明確否認EUV良率的問題,多少也暗示了良率過低的存在,50%的良率意味著只有一半的晶片能用,這樣會大幅提升晶片的成本,產量也會嚴重減少。 考慮到最近高通的一些驍龍訂單都在往台積電的6nm、5nm甚至4nm工藝轉移,下代的驍龍895都是三星、台積電兩家代工的,看起來三星在EUV良率上還是有讓人不放心的地方。 來源:快科技

加入EUV光刻機搶購隊伍 美光2024年生產全新記憶體晶片

在三星、SK海力士開始啟用EUV光刻機快閃記憶體記憶體晶片之後,美光現在也要加入了,跟ASML談判采購EUV光刻機,2024年生產新的EUV記憶體晶片。 美光科技總裁兼執行長桑傑·梅赫羅特拉 (Sanjay Mehrotra)在日前的財報會上表示,美光一直在關注EUV工藝進展,實際上之前也參與了EUV評估,一旦觀察到EUV平台及生態系統成熟,美光也會在產品路線圖中插入EUV光刻技術。 現在美光已將2021財年的治本指出略微提升到95億美元,已經開始跟ASML公司談判購買EUV光刻機,不過現在還沒有公布細節,EUV現在供應緊張,台積電、三星采購的較多,美光還要等等。 根據美光的計劃,EUV光刻工藝要到2024年才會導入,首發用於1-Gama工藝的記憶體,後面還會進一步擴展到更下一代的1-Delta工藝記憶體晶片中。 美光日前發布了2021財年Q3財報,截至6月3的季度中公司營收74.2億美元,同比增長19%,淨利潤17.4億美元,相比去年同期的8億美元大漲116%。 來源:快科技

售價逼近3億美元 ASML第二代EUV光刻機跳票3年

ASML是全球唯一一家量產EUV光刻機的,台積電、三星、Intel的7nm、5nm及未來的3nm、2nm都要依賴EUV光刻機,單台售價超過1億美元,成本極高。 ASML的EUV光刻機目前使用的還是第一代,EUV光源波長在13.5nm左右,物鏡的NA數值孔徑是0.33,發展了一系列型號。 其中最早量產出廠的是NXE:3400B,產能有限,一小時生產晶圓是125PWH,目前的出貨主力是NXE:3400C,產能提升到135WPH,今年底還有NXE:3600D系列出貨,產能再進一步提升到160WPH,不過價格也會提升到1.45億美元了。 現在第一代的EUV光刻機NA指標太低,第二代EUV光刻機會是N XE:5000系列,其物鏡的NA將提升到0.55,進一步提高光刻精度,半導體工藝突破1nm工藝就要靠下一代光刻機了。 然而NA 0.55的二代EUV光刻機沒那麼容易,原本預計最快2023年問世,最新傳聞稱NXE:5000系列跳票,而且一下子就跳票三年,要到2025-2026年才有可能問世了。 不僅時間延期,二代EUV光刻機的價格也會大漲,預計輕松達到3億美元,是現有EUV光刻機的2-3倍,這就意味著未來的晶片工藝成本極其昂貴,哪怕真能做到1nm工藝,那高昂的成本也會讓大多數公司退而卻步。 按照這樣的發展下去,估計1nm工藝的大客戶就剩下蘋果自己了。 來源:快科技

EUV光刻機越來越貴了 單價超過12億元

半導體製造中最關鍵的設備就是光刻機,7nm以下的先進工藝中都離不開EUV光刻機,現在全球都要靠荷蘭ASML(阿斯麥)公司供應,每台機器都價值不菲。 前不久該公司發布了2021年Q1季度財報,當季營收43.64億歐元,同比增79%,上年同期為24.41億歐元;淨利潤為13.31億歐元,同比增240%,上年同期為3.91億歐元。 光刻機銷量方面,淨訂單額高達47.4億歐元,其中EUV光刻機就占到了22.9億歐元。 具體來說,Q1季度中交付並產生收入的EUV光刻機有7台,比去年Q4季度的8台少了1台。 不過價格倒是越來越貴了,EUV光刻機貢獻的收入大約是11.26億歐元,算下來單價是1.6億歐元,約合12.5億元人民幣,比上個季度中的平均單價1.44億歐元提升了11%。 如果大家還關注過一兩年前的報導,那可能還記得當時EUV光刻機的單價約為1.2億歐元,意味著這兩年來光刻機的價格還是在不斷上漲的。 當然,從另一方面來看,EUV光刻機也不是以前的型號了,這幾年ASML一直在改進EUV光刻機,出貨的型號有NEX3400B、NXE3400C等不同,而且每個EUV光刻機還會有配套的服務(安裝也需要ASML工程師),價格也會慢慢漲的。 來源:快科技

阿斯麥一季度交付7台極紫外光刻機 均價1.6億歐元

阿斯麥是目前全球唯一能製造極紫外光刻機的廠商,台積電、三星、英特爾先進晶片製程工藝量產所需的極紫外光刻機,均由阿斯麥提供。阿斯麥發布的財報顯示,他們在今年一季度完成交付、確定收入的極紫外光刻機,共有7台,較去年四季度的8台有減少。 雖然阿斯麥一季度交付的極紫外光刻機,環比有減少,但他們一季度極紫外光刻機的均價,要高於去年四季度。 阿斯麥在財報中披露,一季度他們來自光刻機的收入共31.29億歐元,極紫外光刻機占36%,也就是為11.26億歐元,極紫外光刻機的平均價格為1.6億歐元。 而在去年四季度,阿斯麥在光刻機方面的收入為31.98億歐元,極紫外光刻機所占的比例也是36%,照此計算,平均每台的價格為1.44億歐元。 阿斯麥在財報中還披露,他們在一季度新獲得了47億歐元的訂單,其中23億歐元是極紫外光刻機,按每台1.6億歐元計算,預計就是14台。 來源:cnBeta

台積電2nm工藝進入研發階段:升級GAA電晶體、改進EUV效率

做為全球最大最先進的晶圓代工廠,台積電在7nm、5nm節點上領先三星等對手,明年面還會量產3nm工藝,接下來則是2nm工藝。 台積電計劃未來三年投資1000億美元,其中先進工藝花費的資金最多,2nm工藝也是前所未有的新工藝,台積電去年稱2nm工藝取得了重大進展,進度比預期的要好。 實際上台積電的2nm工藝沒有宣傳的那麼夸張,此前只是技術探索階段,尋找到了可行的技術路徑。 現在2nm工藝才算是進入了研發階段,重點轉向了測試載具設計、光罩製作及矽試產等方向。 根據台積電的說法,2nm工藝節點上,他們也會放棄FinFET電晶體結構,轉向GAA環繞柵極結構,此前三星更為激進,在3nm節點就會棄用GAA電晶體,不過這兩家的GAA電晶體結構也不會一樣,孰優孰劣還沒定論。 在2nm節點,光刻工藝更加重要,EUV光刻是少不了的,但此前的EUV工藝還存在不少問題,台積電的2nm節點也會重點改進EUV工藝,提高光刻中的質量及效率。 至於量產時間,台積電的2nm工廠現在還在起步階段,此前消息稱是2023年試產2nm工藝,2024年量產。 來源:快科技
ASML 20年前就開始研製EUV光刻機 日本佳能、尼康嫌太復雜

ASML 20年前就開始研製EUV光刻機 日本佳能、尼康嫌太復雜

ASML已經成為了全球第一大光刻機廠商,而且最頂尖的EUV光刻機更是獨家壟斷。 日前與媒體交流時,ASML技術開發副總裁Tony Yen確認了此前外界的猜測,他表示EUV光刻機目前有三大客戶,分別是台積電、三星和Intel。 至於同樣製造光刻機的日本佳能、尼康為何在EUV上掉隊,Yen指出,我們從2000年左右開發EUV,並決定大批量生產這種光刻機。 日本人沒有這麼做,因為他們覺得系統太復雜。實際上,我們當時也不是100%確信EUV光刻機會成功。 當然,Yen提到,EUV光刻機的成功還有蔡司、Cymer(已被ASML收購)等企業參與,其中蔡司獨家向ASML出售半導體相關的曝光產品。 據官方披露的數據,去年全球光刻機總銷售量為413台,其中ASML銷售258台占比62%,佳能銷售122台占比30%,尼康銷售33台占比8%。從光刻機類型來看,尼康出貨的主要是ArF immersion、ArF dry,佳能主要是KrF、i-line(份額69%)。 作者:萬南來源:快科技
大手筆 SK海力士與ASML簽合同 4.8萬億韓元購買EUV光刻機

大手筆 SK海力士與ASML簽合同 4.8萬億韓元購買EUV光刻機

隨着半導體工藝進入10nm節點以下,EUV光刻機成為制高點,之前台積電搶購了全球多數的EUV光刻機,率先量產7nm、5nm工藝,現在記憶體廠商也要入場了,SK海力士豪擲4.8萬億韓元搶購EUV光刻機。 據報道,SK海力士與ASML公司簽訂了一個超級大單,未來5年內將斥資4.8萬億韓元,約合43.4億美元購買EUV光刻機。 SK海力士在一份監管文件中稱,這筆交易是為了實現下一代工藝芯片量產的目標。 ASML及SK海力士都沒有透露這麼多資金到底購買了多少台EUV光刻機,不過從之前均價1億歐元的數據來看,SK海力士這次購買的EUV光刻機大約是40台。 如果扣除配套的服務費用,那麼總數量也有可能在30-35台左右,依然不可小覷,畢竟ASML的EUV光刻機去年的銷量也不過31台。 從各方面的數據來看,SK海力士未來幾年中也會是ASML的EUV大客戶。 此外,SK海力士是全球第二大記憶體芯片廠商,這次購買的EUV光刻機主要也是用於新一代記憶體顆粒,此前消息稱SK海力士今年下半年在利川廠區M16採用EUV光刻機生產第四代(1a nm)DRAM產品。 對記憶體來說,它跟CPU邏輯工藝一樣面臨着需要微縮的問題,EUV光刻機可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 作者:憲瑞來源:快科技
台積電3nm工藝進度超前 EUV工藝獲突破 直奔1nm

台積電3nm工藝進度超前 EUV工藝獲突破 直奔1nm

在ISSCC 2021國際固態電路會議上,台積電聯席CEO劉德音公布了該公司的最新工藝進展情況,指出3nm工藝超過預期,進度將會提前。 不過劉德音沒有公布3nm工藝到底如何超前的,按照他們公布的信息,3nm工藝是今年下半年試產,2022年正式量產。 與三星在3nm節點激進選擇GAA環繞柵極晶體管工藝不同,台積電的第一代3nm工藝比較保守,依然使用FinFET晶體管。 與5nm工藝相比,台積電3nm工藝的晶體管密度提升70%,速度提升11%,或者功耗降低27%。 不論是5nm還是3nm工藝,甚至未來的2nm工藝,台積電表示EUV光刻機的重要性越來越高,但是產能依然是EUV光刻的難題,而且能耗也很高。 劉德音提到,台積電已經EUV光源技術獲得突破,功率可達350W,不僅能支持5nm工藝,甚至未來可以用於1nm工藝。 按照台積電提出的路線圖,他們認為半導體工藝也會繼續遵守摩爾定律,2年升級一代新工藝,而10年則會有一次大的技術升級。 作者:憲瑞來源:快科技
直逼1nm工藝 ASML下一代EUV光刻機延期 至少2025年

直逼1nm工藝 ASML下一代EUV光刻機延期 至少2025年

ASML公司前兩天發布了財報,,EUV光刻機出貨31台,帶來了45億歐元的營收,單價差不多11.4億歐元了。 雖然業績增長很亮眼,但是ASML也有隱憂,實際上EUV光刻機的出貨不及預期的35台,而且他們還宣布了下一代高NA的EUV光刻機要到2025-2026年之間才能規模應用,意味着要延期了。 此前信息顯示,ASML下一代EUV光刻機最早是2022年開始出樣,大規模量產是2024-2025年間。 ASML的EUV光刻機目前主要是NEX:3400B/C系列,NA數值孔徑是0.33,下一代EUV光刻機是NEX:5000系列,可將NA提升到了0.55,意味着光刻機的分辨率提升了70%。(註:NA越高,光刻機精度越高) 目前的EUV光刻機可以用於製造7nm到3nm工藝的芯片,下代EUV光刻機則是針對3nm以下的節點,2nm甚至未來的1nm工藝都要用到NA 0.55的EUV光刻機。 作者:憲瑞來源:快科技
不容忽視日本在EUV光刻機配套設備上實力強大 部分領域100%壟斷

不容忽視日本在EUV光刻機配套設備上實力強大 部分領域100%壟斷

近期三星為爭搶EUV設備,高層頻頻傳出密訪ASML,EUV的重要性早已不言而喻。提到EUV,大家首先想到的就是ASML,ASML並不是一個家喻戶曉的名字,但他卻是現代技術的關鍵。因為它提供了製造半導體必不可少的「光刻「機器,在摩爾定律即將發展到盡頭的現在,可以說,得EUV者得先進工藝。 雖然在EUV相關設備市場中,荷蘭ASML壟斷了核心光刻機,但在「極紫外光刻曝光」周邊設備中,日本設備廠家的存在感在逐步提升,尤其在檢測、感光材料塗覆、成像等相關設備方面,日本的實力也是不容忽視的。 廠商們「慌慌張張「,不過EUV幾台 半導體邏輯製程技術進入到7納米以下後,由於線寬過細,因此需要EUV設備做為曝光媒介,未來先進半導體邏輯芯片製程將向下推進到3納米、2納米,甚至是1納米製程,屆時EUV設備將會出現再度升級。 除此之外,不僅邏輯晶圓製程需要EUV設備之外,就連未來量產DRAM也需要EUV設備。因此除了台積電、三星及英特爾等晶圓廠爭搶EUV,後續包含美光、SK海力士也需要大量EUV設備。乘着5G普及的「順風」,半導體微縮化需求逐步高漲,半導體廠家加速導入EUV,EUV設備成為炙手可熱的產品。 所以三星電子李在鎔副會長於10月13日緊急訪問了荷蘭的半導體設備廠家——ASML,並與ASML的CEO Peter Wennink先生、CTO Martin van den Brink先生進行了會談,那麼三星能得到幾台EUV設備呢? 讓我們來回看下全球唯一的EUV設備生產商ASML的歷年出貨量,ASML在2015年出貨了6台、2017年10台,2018年18台,2019年26台,預計2020年出貨36台。 然而,Open PO數量在不斷增長,在2020年的第二季度已經達到了56台。據biz-journal推測,在ASML 2020年出貨的36台設備中大部分都是出給台積電的。如果三星電子也購買了EUV設備,最多也就是1-2台。 可以推測,在2020年年末各家廠家持有的EUV設備數量如下,TSMC為61台,三星電子最多為10台左右。報導也指出,ASML目前已經生產及接單的EUV設備大約落在70台左右水準,台積電已經獲得過半設備,三星才獲得10台,雖然李在鎔親自出訪ASML,也才多獲得9台,僅接近台積電當初剛跨入EUV世代的水準,三星先進製程晶圓供給量遠低於台積電。 後續TSMC每年會引進約20-30台EUV設備,預計在2025年末會擁有約185台EUV設備(甚至更多)(注),另一方面,三星電子的目標是在2025年末擁有約100台EUV設備,從ASML的生產產能來看,相當困難。 日本的EUV設備實力 上文我們所說的都是ASML的EUV曝光設備(也就是我們常說的EUV光刻機),這是EUV核心設備。但EUV相關設備中還包含光掩模缺陷檢測設備和塗覆顯影設備,這可以稱作是EUV的周邊設備,在這兩大EUV設備領域中,日本廠商有着不容小覷的市占率。 首先來看缺陷檢測設備,如果作為原始電路板的光掩模中存在缺陷,則半導體的缺陷率將相應增加。最近幾年需求增長尤其旺盛的是EUV光罩(半導體線路的光掩模版、掩膜版)檢驗設備,在這個領域,日本的Lasertec Corp.是全球唯一的測試機製造商,Lasertec公司持有全球市場100%的份額。 2017年,Lasertec解決了EUV難題的關鍵部分,當時該公司創建了一款可以檢查空白EUV掩模內部缺陷的機器。2019年9月,它又推出了可以對已經印有芯片設計的模板進行相同處理的設備,從而又創建了另一個里程碑。 據報道,Lasertec公司2020年7月-9月期間的半導體相關設備的訂單金額是去年同期的2.6倍。為滿足市場的需求,目前已經增加了數家代工企業。傳統的檢查EUV光掩膜的方法主要是將深紫外光(DUV)應用於光源中,而EUV的波長較DUV更短,產品缺陷檢測靈敏度更高。 DUV光雖然也可以應用於當下最先進的工藝5納米中,而Lasertec公司的經營企劃室室長三澤祐太朗指出,「隨着微縮化的發展,在步入2納米製程時,DUV的感光度可能會不夠充分「!即,採用EUV光源的檢測設備的需求有望進一步增長。 Lasertec總裁Osamu Okabayashi此前曾指出,「邏輯芯片製造商將首先採用EUV技術,隨後將是記憶體芯片製造商,但真正的訂單量將在它們達到量產階段時到來。」Okabayashi預計每個客戶可能需要幾個測試設備,每個設備的成本可能超過43億日元(4000萬美元),建造時間長達兩年。 芯片製造商在其掩膜車間至少需要一台機器,以確保模板打印正確。而晶圓廠則需要測試設備來觀察由於集中的光線反復投射到芯片設計模板上而造成的微觀磨損。 日本另一個占據100%市場份額的是東京電子的EUV塗覆顯影設備,該設備用於將特殊的化學液體塗在硅片上作為半導體材料進行顯影。1993年東電開始銷售FPD生產設備塗布機/顯影機,2000年交付了1000台塗布機/顯影機「 CLEAN TRACK ACT 8「。 東京電子的河合利樹社長指出,如果EUV的導入能促進整個工序的技術進步的話,與EUV沒有直接聯系的工序數也會增加。此外,各種設備的性能也會得以提高。另外,對成膜、蝕刻設備等也會帶來一定的影響。據悉到2021年3月,東京電子計劃投資至少12.5億美元用於研發,來應對光刻設備市場的需求。 日本其他EUV實力 除了EUV設備,日本在EUV光刻膠和EUV激光光源方面也是數一數二的。在光刻膠領域,日本是全球的領先廠商,尤其是在EUV光刻膠方面,他們的市場占比更是高達90%,然而他們似乎並沒有放慢腳步。 據報導,富士膠片控股公司和住友化學將最早在2021年開始提供用於下一代芯片製造的材料,這將有助於智能手機和其他設備向更小、更節能等趨勢發展。富士膠片正投資45億日元(4,260萬美元),在東京西南部的靜岡縣生產工廠配備設備,最早將於2021年開始批量生產。該公司表示,使用該產品,殘留物更少,從而減少了有缺陷的芯片。  同時,住友化學將在2022財年之前為大阪的一家工廠提供從開發到生產的全方位光刻膠生產能力。光源可靠性也是光刻機的重要一環。 日本的Gigaphoton是在全球范圍內能夠為光刻機提供激光光源的兩家廠商之一(另外一家是Cymer,該公司於2012年被ASML收購)。Gigaphoton正期待卷土重來,因為在EUV出現之前,該公司就已成為光刻機光源領域的前兩名。 但是,由於諸如ASML收購競爭對手之類的原因,它目前正在失去其地位。在ASML推出EUV下一代設備之前,Gigaphoton努力開發高輸出光源組件,以重新獲得市場份額。 GIGAPHOTON 是一家相對較年輕的公司,成立於2000年。Gigaphoton一直在積極開發極紫外(EUV)光刻技術,以作為超越ArF光刻技術時代的下一代光刻技術之一。Gigaphoton已經開發了一種使用激光產生等離子體(LPP)方法的EUV光源,該方法通過將脈沖激光輻射到Sn靶上來從高溫等離子體產生EUV光。 目前,該公司正在開發量產的光源並取得穩定的進展。此外,在電子束掩膜光刻設備市場,東芝集團旗下的NuFlare Technology緊緊追趕東京電子顯微鏡製造商JEOL與奧地利IMS Nanofabrication的聯盟,正在集中開發能夠發射26萬束激光的「多光束」設備。 為了防止被全球最大的光掩膜板製造商Hoya收購,今年一月份起,東芝加強對NuFlare Technology的控制,向後者增派25名工程師及其他管理者,以期在2020財年實現下一代EUV適用設備出貨。 寫在最後 在光刻設備領域,尼康和佳能曾席捲全球市場,但在與ASML的競爭中失敗並在EUV開發方面落後。由上文我們可以看出,在EUV的周邊設備領域以及材料方面,日本仍然盤踞着幾大龍頭。 但未來隨着產品和設備技術復雜性的增加以及相關成本的增加,向EUV光刻技術的過渡將不可避免地減少市場參與者的數量。 但關於EUV,也有一些令人擔憂的因素。一台尖端EUV曝光設備的價格高達1.2億人民幣(甚至更高),且周邊設備的價格也很昂貴。可以預想,隨着半導體微縮化的發展,半導體的成本價很可能會超過之前的完成品價格。 日立高科技的石和太專務執行董事曾提出,「在微縮化技術的極限到來之前,經濟價值的極限應該會率先到來!「在如今的半導體行業,人們在想方設法提高半導體的性能,如縱向堆疊多個半導體芯片的「立體化」方法,即不通過微縮化來提高性能。希望全球的半導體設備廠家具有前瞻性,能夠預想到EUV微縮化到底能持續到什麼時候。 來源:快科技
ASML完成第100台EUV光刻機出貨 2021年產能將大增

ASML完成第100台EUV光刻機出貨 2021年產能將大增

台積電和三星均已投入5nm工藝的量產,前者代工的產品包括蘋果A14、M1、華為麒麟9000等,後者則包括Exynos 1080、驍龍888等。 其實從7nm開始,台積電和三星就開始引入EUV光刻,但過程層數較少。按照ASML(阿斯麥)的說法,迭代到5nm後,EUV的層數達到了10~14層,包括但不限於觸點、過孔以及關鍵金屬層等過程。未來的3nm、2nm,對EUV的依賴將更甚。 根據最新數據顯示,ASML在12月中完成了第100台EUV光刻機的出貨。更加利好的消息是,業內預估ASML今年(2021年)的EUV光刻機產能將達到45~50台的規模。 畢竟,2019年ASML僅出貨了26台,去年三季度後全年累計出貨23台(全年預估35台),可謂少得可憐。 另外,ASML定於明年中旬交付最新一代EUV光刻機TWINSCAN NXE:3600D,生產效率提升18%、機器匹配套准精度改進為1.1nm,單台價格或高於老款的1.2億歐元(約合9.5億元人民幣)。 作者:萬南來源:快科技
三星擴大部署EUV光刻工藝 新老記憶體齊上馬、領先對手兩年

三星擴大部署EUV光刻工藝 新老記憶體齊上馬、領先對手兩年

繼SK海力士日前宣布在M14和建設中的M16工廠均引入EUV光刻機後,三星也坐不住了。 按照三星的說法,自2014年以來,EUV光刻參與的晶圓超過了400萬片,公司積累了豐富的經驗,也比其它廠商掌握更多訣竅,領先對手1到2年。 據悉,三星的1z nm DRAM第三代記憶體已經用上了一層EUV,第四代1a nm將增加到4層。EUV光刻機的參與可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 盡管SK海力士、美光等也在嘗試EUV,但層數過少對效率提升並不明顯,也就是單位成本高,畢竟EUV光刻機買一台要10億元。三星這方面倒是有優勢,因為自己還有晶圓廠,「東方不亮西方亮」光刻機的利用率很高。 作者:萬南來源:快科技
SK海力士加速量產第四代記憶體 新舊工廠齊上EUV光刻機

SK海力士加速量產第四代記憶體 新舊工廠齊上EUV光刻機

目前,EUV光刻機的部署安裝主要在台積電、三星的晶圓代工廠。不過,記憶體廠商們也開始着手上馬了。 此前,SK海力士規劃的是為年底建成的M16工廠配備,但來自德國CB的消息稱,M14老廠也會引進。 EUV光刻機參與的將是SK海力士的第四代(1a nm)記憶體,在記憶體業內,目前的代際劃分是1x、1y、1z和1a。 EUV光刻機的參與可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 當然,EUV光刻機實在是香餑餑。唯一的製造商ASML(荷蘭阿斯麥)產能極有限,盡管一台要10億元左右,可仍舊供不應求。這一回新老工廠其上位,不知道SK海力士從ASML那里敲定了多少台。 另外,本次報道稱,三星2021年將投產EUV工藝生產的記憶體,也就是早些時候發布的16Gb容量LPDDR5。 作者:萬南來源:快科技
用上EUV光刻機 SK海力士宣布明年量產第四代記憶體

用上EUV光刻機 SK海力士宣布明年量產第四代記憶體

ASML公司的EUV光刻機全球獨一份,現在主要是用在7nm及以下的邏輯工藝上,台積電、三星用它生產CPU、GPU等芯片。馬上記憶體芯片也要跟進了,SK海力士宣布明年底量產EUV工藝記憶體。 據報道,SK海力士總裁李石熙日前表示,該公司計劃將於明年下半年開始在利川廠區M16採用EUV光刻機生產第四代(1a nm)DRAM產品。 李石熙表示M16工廠將於今年底建成,明年上半年開始引入製造設備,目前實驗室正在進行准備工作,預計明年開始量產。 對記憶體來說,它跟CPU邏輯工藝一樣面臨着需要微縮的問題,EUV光刻機可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 當然,上EUV工藝的代價也不是沒有,EUV光刻機單價10億元以上,產量還是不如傳統DUV光刻機,意味着初期成本會比較高。 在SK海力士之前,前不久發布的16Gb容量LPDDR5記憶體已經用上了,帶寬速度為6400Mbps(等價6400MHz),比現款12Gb LPDDR5-5500快了16%。 在16GB的總容量下,允許一秒內傳輸10部5GB高清電影(51.2GB)。 三大記憶體原廠中,只有美光對EUV工藝不太熱心,不過隨着前兩大廠商上馬EUV工藝,美光後續的想法或許也會改變了。 - THE END - 轉載請註明出處:快科技 #記憶體#SK海力士#極紫外光刻 責任編輯:憲瑞作者:憲瑞來源:快科技
AMD的Zen3處理器小驚喜曝光 終於上EUV光刻工藝了?

AMD的Zen3處理器小驚喜曝光 終於上EUV光刻工藝了?

AMD的銳龍5000系列處理器下月初就要上市了,全新的Zen3架構IPC性能大漲,不過工藝上面依然是7nm級別的, 最早的傳聞中,AMD的Zen3工藝說是要上7nm EUV工藝的,這是台積電三種7nm工藝版本之一,與其他兩種工藝相比,它會使用EUV光刻機,光刻處理效率更高。 在Zen3是否會上EUV工藝的問題上,AMD官方的態度一直很模糊,只強調是改良版的7nm工藝,官方路線圖中直接去掉了EUV的痕跡。 不過台灣媒體日前提到,AMD的Zen3這次使用的7nm工藝中是有EUV光刻工藝的,不過只使用了四層EUV光刻,並不多。 當然,這個說法還有待AMD證實,在這個問題上AMD的態度也比較含蓄,路線圖上不提EUV的,但官方也沒有徹底針對EUV工藝發表否認說法,似乎很敏感。 對台積電來說,7nm EUV工藝只是試水,不會使用太復雜的EUV光罩,5nm開始會逐漸增加,EUV層數直接提升到14層,3nm則會提升到20層,未來會逐漸取代負責的多重曝光工藝。 - THE END - 轉載請註明出處:快科技 #AMD#CPU處理器#極紫外光刻 責任編輯:憲瑞作者:憲瑞來源:快科技
三星7nm EUV成熟了 Power 10核心面積高達602mm2 僅次於安培

三星7nm EUV成熟了 Power 10核心面積高達602mm2 僅次於安培

今天IBM正式推出了Power 10系列處理器,,Power 10可謂科技滿滿,PCIe 5.0、DDR5都齊了,單芯片15核心120線程。 這一代最大的變化當屬製程工藝,從之前Power 9的GF 14nm SOI工藝升級到了三星7nm EUV工藝,而且核心面積高達602mm2,意味着這是一顆大核心芯片。 對三星來說,雖然2018年官方就高調宣布了7nm EUV工藝量產,比台積電進度還靠前,但實際上三星的7nm EUV一直不夠成熟,良率多次傳出問題。 在7nm工藝上,台積電為NVIDIA代工的安培GA100核心面積高達826mm2,集成了540億晶體管,可以說是7nm高性能芯片之最了。 現在三星製造的Power 10處理器面積也達到了602mm2,晶體管數量180億,規模上依然不能跟GA100相比。 不過我們要考慮到,CPU與GPU工藝是不同的,後者總體較為簡單,對規模更容易,而CPU要復雜的多,Power 10的晶體管只有GA100的1/3。 即便如此,三星7nm EUV工藝能夠製造出600mm以上的大芯片,說明三星的7nm EUV在高性能方面也成熟了,這對三星搶占台積電的份額大有裨益。 作者:憲瑞來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

據媒體報道,光刻機巨頭ASML(阿斯麥)日前宣布,將在台灣的南部科學園區建立自己在海外(荷蘭之外)的首個培訓中心,旨在就近輔導台積電所領導EUV光刻製造集群。 所謂EUV即極紫外光刻,目前全球有且只有ASML可以生產相關機器。光刻是半導體芯片製造中最費時間也是最費成本的環節之一,而且它決定了芯片的工藝水平,常說的XXnm工藝主要是看光刻機水平。 台積電的第一代7nm因為趕進度,沒有使用EUV,而是DUV(深紫外光刻),不過隨着7nm的改良以及5nm量產、3nm風險試產的進行,EUV開始更多導入。 ASML今年二季度的財報顯示,雖然僅僅出貨了9台光刻機,但淨利潤高達7.51億歐元。畢竟,一台EUV光刻機的售價就達到約合10億元人民幣左右。 另外,TrendForce數據顯示,今年第一季度,台積電營收102億美元,是第二名三星的幾乎4倍。 作者:萬南來源:快科技
記憶體又要跌價了?SK海力士計劃在M16工廠引入EUV光刻機

記憶體又要跌價了?SK海力士計劃在M16工廠引入EUV光刻機

在進入20nm節點之後,記憶體工業也面臨着CPU工藝一樣的製造難題,微縮越來越困難,製造工藝復雜,導致記憶體成本居高不下。如今7nm以下的處理器用上了EUV光刻機,記憶體很快也要跟進了,SK海力士計劃在韓國M16工廠引入EUV工藝。 與目前大量在用的DUV光刻相比,EUV光刻機可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 記憶體用上EUV工藝之後,記憶體顆粒的製造成本理論上是會下降的,這也為記憶體降價奠定基礎,不過EUV初期來說就很難了,因為EUV光刻機售價將近10億元人民幣,新建EUV產線的成本是非常高的,需要時間消化。 據韓國媒體報道,SK海力士已經成立了專門的研究小組攻克EUV工藝,從去年就開始投資EUV中可能用到的TF材料,相關研究由副總裁鄭泰佑負責,他是蝕刻技術的專家,曾參與SK海力士首個10nm DRAM「Arius」的開發項目以及下一代NAND閃存的研發。 SK海力士也在推進建設EUV DRAM記憶體生產線的建設,預計在韓國利川市的M16工廠中開始引入。 優惠商品信息>>作者:憲瑞來源:快科技
ASML公司Q2利潤大漲58% EUV光刻機出貨9台

ASML公司Q2利潤大漲58% EUV光刻機出貨9台

荷蘭ASML公司今天發布了Q2季度財報,當季營收33.3億歐元,同比增長29.5%,淨利潤7.51億歐元,同比大漲57.8%,期間出貨9台EUV光刻機,比上季度的4台翻倍還多。 今年Q1季度,由於疫情的影響,ASML的財報大幅下滑,營收只有24.41億歐元,EUV光刻機只出貨了4台,其中2台在Q1季度確認獲得收入,2台還沒拿到全部款項。 今年Q2季度ASML的業務逐漸恢復,營收達到了33.3億歐元,同比也增長了29.5%,淨利潤更是從去年的4.76億歐元大漲到了7.51億歐元,同比增長57.8%,不過並沒有達到分析師預期的8.6億利潤。 光刻機方面,Q2季度出貨了61台光刻機,其中EUV光刻機出貨了9台,7台確認獲得收入,相比Q1季度的4台出貨、2台確認收入大幅增長。 Q2季度的表現意味着半導體市場開始恢復,盡管還沒有完全達到之前的水平,不過ASML對今年的業績很有信心,表示全年的訂單價值超過100億歐元,2020年依然會是公司成長的一年。 優惠商品信息>>作者:憲瑞來源:快科技
ASML放言 沒有我們的EUV光刻機 芯片廠商造不出7nm以下工藝

ASML放言 沒有我們的EUV光刻機 芯片廠商造不出7nm以下工藝

作為半導體芯片生產過程中最重要的裝備,光刻機一直牽動人心,製程工藝越先進就要離不開先進光刻機。ASML副總裁Anthony Yen日前表態,如果沒有EUV光刻機,那麼芯片廠商是造不出7nm以下工藝芯片的。 光刻是半導體芯片製造中最費時間也是最費成本的環節之一,而且它決定了芯片的工藝水平,常說的XXnm工藝主要是看光刻機水平,10nm工藝之後難度越來越大,光刻機也需要升級到EUV級別。 台積電在第一代7nm工藝上沒有使用EUV光刻機,但是7nm之後的工藝就很難避開EUV光刻機了,理論上可以用多重曝光的方式製造5nm級別的芯片,但是成本、良率都是個問題,無法拒絕EUV光刻機。 目前ASML公司是唯一一個能生產EUV光刻機的公司,他們做出這樣的表態其實也不讓人意外,畢竟這是一台售價高達1.2億歐元、約合10億一台的高端設備,全球半導體製造廠商都要看ASML的臉色。 優惠商品信息>>作者:憲瑞來源:快科技
技術奇跡EUV光刻機被美國限制出口的真相

技術奇跡EUV光刻機被美國限制出口的真相

EUV光刻機是一項技術奇跡。 一台發生器每秒噴射出5萬個微小的熔錫液滴,高功率激光對每個液滴進行兩次爆破,第一次可以使錫成形,第二次是使錫蒸發成等離子體。 等離子體發出極紫外光輻射,這種輻射聚集成光束並通過一系列反射鏡反射最終撞擊晶圓。 反射鏡十分光滑,以至於即使擴大到德國國土面積的尺寸大小,其凹凸也不會超過1毫米。 EUV光束撞擊晶圓的精度很高(本身就是材料科學的奇跡),相當於一把從地球上發射的弓箭直接擊中放在月球上的蘋果。 EUV光刻機就是通過這樣一系列操作,將晶體管拉入5nm的晶圓,5nm大概相當於我們的指甲在5秒內長出的長度。 技術奇跡EUV光刻機的製造困局 一台EUV光刻機由10萬多個零件組成,成本約為1.2億美元,需要用40個貨運集裝箱裝運。但就是這台價值1.2億美元的機器,目前世界只有幾十台,各國對其的需求量也遠遠超過供應量,且還有大約兩年的未交貨訂單。 之所以數量少,是因為目前世界上只有荷蘭的ASML公司能夠製造EUV光刻機。ASML是一家幾乎只生產用於芯片製造光刻機的公司,盡管它的業務很局限,但該公司的市值卻超過1500億美元,遠遠高於IBM的市值,僅略低於特斯拉。 EUV光刻技術自1980年以來一直在發展,直到最近兩年才進入批量生產階段。除了ASML,其它公司(尼康、佳能等公司)只能生產不使用EUV技術且成本效益較低的老一代光刻機,這些公司所擁有的經驗、專業知識以及市場定價權都來自於極端技術需求下競爭激烈的行業數十年的盈利能力。 如果這些公司能夠製造EUV光刻機,那麼他們將賺上數十億美元。這也是為什麼在經歷了30多年和數十億美元的研發投入後,ASML能夠獲得如此多的訂單:EUV光刻機走在人類技術能力的前沿,製造難度大。 中國自研EUV光刻機的可能性 中國幾乎沒有光刻經驗和光刻行業,任何試圖開發EUV光刻機的中國公司都必須從頭開始,不得不努力縮小與擁有數十億美元資產、數十年經驗以及經驗和專業知識都頗為豐富的成千上萬員工的ASML的差距,同時也不得不在那些經驗豐富和擁有數億美元的生產光刻機的公司曾經失敗過的地方取得成功。 由此可見,在短期內,中國公司自主生產EUV光刻機的可能性很小。 中國意識到EUV光刻機的重要性,且在美國的壓力下,荷蘭政府於2019年11月阻止ASML將EUV光刻機運送到中國。相關新聞報道將ASML描述成中美貿易戰的棋子,但荷蘭的決定事關重大。 這是因為,有許多具有戰略意義的技術都具有潛在的危險性或不確定性,包括人工智能、自主武器系統、高超音速導彈、網絡武器、監視工具和最新一代核武器,這些技術以及其他技術都需要最新的芯片來開發和部署。如果讓這些技術籌碼遠離中國政府或代表中國政府行事的人,可以在未來幾十年里先發制人地保護人權和安全。 但如果缺乏先進的芯片,中國就不能參與技術權威主義或軍備競賽。 出口管制不應阻礙全球繁榮 中美兩國之間的貿易往來促進彼此繁榮,但美國始終認為某些東西過於危險而無法自由貿易。過去四十年,中國的開放和中國社會的日益繁榮是上個世界最重要的發展之一,將近10億人擺脫了貧困。美國及其盟國實施的任何出口管制都應該盡可能縮小范圍,只針對破壞國際安全或人權的技術和用戶。 可以通過「兩步走」出口控制計劃來實現這一目標。首先,美國、荷蘭和日本應對生產先進芯片所學的包括光刻機在內的製造設備實施嚴格的多邊出口管制。這三個國家幾乎壟斷了先進的芯片製造設備,其技術壁壘與EUV光刻技術類似。有針對性的出口管制將繼續促進彼此往來。 其次,製造先進芯片的國家應該對芯片實行狹窄的多邊出口管制。這些控制措施應針對特定的最終用戶和最終用途,同時允許絕大多數中國公司進口用於商業用途的芯片。 EUV光刻機不僅僅是技術奇跡,還提供了重要的發展路徑。通過先進的計算機芯片技術,可以推動科學和工程領域前沿的發展,促進全球繁榮。若這些機器掌握在民主國家手中,可能在未來十年,都能夠維持繁榮前進的步伐。 優惠商品信息>>來源:快科技
三星之後 SK海力士也要在記憶體上使用EUV光刻機 10億一台

三星之後 SK海力士也要在記憶體上使用EUV光刻機 10億一台

ASML的EUV光刻機已經成為7nm以下製程的關鍵了,三星、台積電、Intel都在購買單價高達10億元的EUV光刻機用於生產。除了CPU之外,記憶體工藝也會逐步導入EUV光刻機,三星之後SK海力士也要這麼做。 據韓國媒體報道,全球第二大DRAM記憶體供應商SK海力士已經在研發1a nm工藝的記憶體,內部代號「南極星」,具體節點大概在15nm,預計會引入EUV光刻機生產。 對記憶體來說,它跟CPU邏輯工藝一樣面臨着需要微縮的問題,EUV光刻機可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 不過記憶體使用EUV工藝問題也不少,首要問題就是EUV光刻機售價太高,10億一台,還要考慮到維護費用,所以初期要承擔不小的成本壓力。 目前SK海力士最先進的記憶體工藝主要是1y、1z nm,今年下半年這兩種工藝將占到40%的產能比重。 3月初,三星表示,將從第四代10nm級(D1a)DRAM或高端級14nm級DRAM開始全面導入EUV,明年基於D1a大規模量產DDR5和LPDDR5記憶體芯片,預計會使12英寸晶圓的生產率翻番。 作者:憲瑞來源:快科技
阿斯麥一季度接到73台光刻機訂單 包括11台極紫外光刻機

阿斯麥一季度接到73台光刻機訂單 包括11台極紫外光刻機

4月27日消息,據國外媒體報道,光刻機製造商阿斯麥的報告顯示,在今年的一季度,他們共接到了客戶的73台光刻機訂單,其中11台是極紫外光刻機。 從報告來看,阿斯麥一季度接到的73台光刻機訂單中,有72台將是新生產的光刻機,另外1台則是翻新的光刻機。 阿斯麥在報告中還披露,他們一季度接到的73台光刻機,訂單金額高達30.85億歐元。 從光刻機訂單的數量和金額來看,阿斯麥今年一季度的表現要明顯好於上一季度和去年同期。去年四季度,阿斯麥接到的光刻機訂單是共有60台,訂單金額24.02億歐元;2019年一季度新增的光刻機訂單則是34台,金額13.99億歐元。 在芯片工藝提升到5nm之後,就只有極紫外光刻機能滿足需求,阿斯麥是目前全球唯一一家能生產極紫外光刻機的廠商,在報告中,他們也有披露一季度這一類光刻機的訂單狀況。 在報告中,阿斯麥披露,他們今年一季度新接到了11台極紫外光刻機訂單,訂單金額為15億歐元(115億人民幣,平均每台售價10億),占到了他們一季度新增訂單金額的近一半。 來源:快科技
阿斯麥一季度僅出貨4台極紫外光刻機 環比大幅下滑

阿斯麥一季度僅出貨4台極紫外光刻機 環比大幅下滑

4月17日消息,據國外媒體報道,在智能手機等高端設備芯片的工藝提升到5nm之後,能生產5nm芯片的極紫外光刻機就顯得異常重要,而作為目前全球唯一能生產極紫外光刻機的廠商,阿斯麥的供應量直接決定了各大芯片製造商5nm芯片的產能。 阿斯麥極紫外光刻機 按計劃,5nm是在今年上半年就會開始大規模量產,也正是對極紫外光刻機需求大的時期,但在狀況特殊的今年一季度,阿斯麥極紫外光刻機的出貨量環比卻出現了大幅下滑。 阿斯麥發布的一季度財報顯示,他們在今年一季度營收24.41億歐元,僅上一季度六成,確認收入的光刻機共57台,較去年四季度的76台也明顯減少。 在目前最先進的極紫外光刻機方面,阿斯麥披露能確認收入的僅有兩台,較上一季度的8台大幅減少,只有去年一季度的一半,同比環比均有明顯下滑。 而在財報中,阿斯麥CEO彼得·韋尼克(Peter Wennink)透露,今年一季度他們的極紫外光刻機共出貨4台,但只有兩台能確認收入,導致這一差別的原因,他們此前已經公布。 韋尼克所提到極紫外光刻機已經出貨但尚不能確定收入的原因,是指阿斯麥在3月30日發布的一季度業績預期調整文件中所提到的原因,當時他們就提到,由於部分客戶對運輸系統能否持續運行存在擔憂,要求阿斯麥在完成正常的工廠接收測試之前就出貨,這導致他們需要在客戶的工廠成功安裝之後,才能確認收入,存在延遲。 雖然一季度阿斯麥極紫外光刻機的出貨量有明顯下滑,但他們在財報中披露,目前市場對極紫外光刻機的需求依舊強勁,他們在一季度就接到11台、價值15億歐元的極紫外光刻機訂單。 來源:快科技
EUV光刻機斷貨 台積電5nm工藝搶三星頭彩 A14/麒麟1020首發

EUV光刻機斷貨 台積電5nm工藝搶三星頭彩 A14/麒麟1020首發

台積電上周發布了3月及Q1季度財報,營收同比大漲了42%,淡季不淡。不過接下來的日子半導體行業可能不太好過了,ASML的EUV光刻機已經斷貨,要延期交付,好在台積電今年已經在5nm工藝上搶先三星了。 根據ASML之前的報告,3月底他們下調了1季度營收預期到24-25億美元,差不多減少了1/4左右的營收,毛利率也下滑到了45-46%之間。 此外,ASML的EUV光刻機也因為種種原因斷貨了,雖然訂單沒有取消,但是交付要延期了,三星、台積電今年都不太容易快速擴張EUV產能。 不過台積電在這次危機中更有優勢地位,他們包攬了ASML公司大部分EUV光刻機,營收占比51%,遠高於三星的16%。 台積電的5nm EUV工藝今年上半年開始量產,主要客戶是華為及蘋果,麒麟1020、A14處理器都會用上5nm工藝。 三星也宣布了5nm EUV工藝,更獲得了高通的驍龍X50 5G芯片訂單,不過出貨日期要到明年了,2020年內5nm工藝主要還是台積電獨占。 作者:憲瑞來源:快科技
EUV光刻機訂單少了?ASML下調Q1季度營收預期 暫停股票回購

EUV光刻機訂單少了?ASML下調Q1季度營收預期 暫停股票回購

由於COVID-19新冠病毒在全球的蔓延,多個行業的生產、消費都受到影響了,半導體行業也不例外。ASML公司今天宣布下調Q1季度營收預期,同時暫停股票回購,不過他們沒公布EUV光刻機出貨量是否受影響了。 今年1月份ASML公司發布了2019年Q4及全年財報,2019年交付了26台EUV光刻機,預計2020年交付35台EUV光刻機,2021年則會達到45台到50台的交付量,是2019年的兩倍左右。 展望2020年Q1季度,ASML之前預計營收31到33億歐元之間,毛利率46%到47%之間,研發費用約為5.5億歐元,SG&A管理營銷費用1.4億歐元,稅率13%。 現在ASML公司下調了Q1季度營收預期到24-25億美元,差不多減少了1/4左右的營收,毛利率也下滑到了45-46%之間。 與此同時,ASML還暫停了股票回購,Q2季度也不打算繼續了,畢竟這個時候要保留更多的現金才好「過冬」。 ASML表示新冠病毒疫情其實沒有對他們的光刻機生產製造帶來影響,需求也沒發生變化,只是供應鏈被限制,導致交付延期。 ASML稱Q1季度無法確認的訂單將轉移到今年Q2、Q3季度中。 作者:憲瑞來源:快科技
三星率先為DRAM芯片導入EUV 明年將用於DDR5/LPDDR5大規模量產

三星率先為DRAM芯片導入EUV 明年將用於DDR5/LPDDR5大規模量產

當前在芯片製造中最先進的EUV(極紫外光刻)工藝被三星率先用到了DRAM記憶體顆粒的生產中。 這家韓國巨頭今日宣布,已經出貨100萬第一代10nm EUV級(D1x)DDR4 DRAM模組,並完成全球客戶評估,這為今後高端PC、手機、企業級服務器等應用領域開啟新大門。 得益於EUV技術,可以在精度更高的光刻中減少多次圖案化的重復步驟,並進一步提升產能。 三星表示,將從第四代10nm級(D1a)DRAM或高端級14nm級DRAM開始全面導入EUV,明年基於D1a大規模量產DDR5和LPDDR5記憶體芯片,預計會使12英寸晶圓的生產率翻番。 不過,目前支持DDR5的PC平台尚未亮相,LPDDR5倒是已經逐漸鋪開。三星量產的第一代EUV DDR5 DRAM單芯片容量為16Gb(2GB),地點是平澤市的V2線。 根據三星此前的預判,EUV將幫助公司至少推進到3nm尺度。 作者:萬南來源:快科技
中芯國際年底量產7nm工藝?官方道出真相

中芯國際年底量產7nm工藝?官方道出真相

日前中芯國際聯席CEO梁孟松博士首次公開了中芯國際N+1、N+2代工藝的情況,透露N+1工藝相比於14nm性能提升20%、功耗降低57%、邏輯面積縮小63%,SoC面積縮小55%,之後的N+2工藝性能和成本都更高一些。 業界普遍認為,中芯國際N+1工藝相當於台積電第一代7nm工藝,N+2則相當於台積電7nm+,重點在提升性能,年底即可量產。 今天,中芯國際相關人士詳細解釋了N+1工藝,也澄清了一些誤會。 首先需要強調的是,N+1是中芯國際的內部代號,並不等於7nm。 據介紹,中芯國際N+1工藝在去年第四季度完成流片,目前正處於客戶產品驗證階段,預計第四季度有限量產。 與市場上的7nm相比,中芯國際N+1在功耗、穩定性方面非常相似,唯一的區別就是性能,N+1的性能比14nm提高了約20%,但市場基準提升幅度是35%,所以有差距,但這也是唯一的差距。 如果是從功耗、穩定性方面而言,可以將中芯國際N+1稱為7nm,而在性能方面確實要比7nm差。 中芯國際對N+1的目標是低成本應用,可以將成本相對市場上的7nm減少大約10%,因此是一個非常特殊的工藝節點。 從中芯國際的表態看,N+1更類似於台積電、三星的10nm,或者有點像三星的8nm,因為20%的性能提升幅度遠低於台積電預計的30%、實際的35%。 至於N+2工藝,與市場7nm更為接近,尤其是穩定性,但是性能仍然略遜一籌。 另外隨着台積電、三星陸續導入EUV極紫外光刻,中芯國際也在加速推進,但是梁孟松曾明確表示, 中芯國際無需EUV就能達成7nm,當然後續的5nm、3nm是必須要有EUV的。 其實,台積電第一代7nm也沒有用EUV而是繼續傳統DUV,7nm+才有限導入EUV,幾十層光罩中只有幾層使用。 作者:上方文Q來源:快科技
ASML研發下一代EUV光刻機 分辨率提升70% 逼近1nm極限

ASML研發下一代EUV光刻機 分辨率提升70% 逼近1nm極限

在EUV光刻機方面,荷蘭ASML(阿斯麥)公司壟斷了目前的EUV光刻機,去年出貨26台,創造了新紀錄。據報道,ASML公司正在研發新一代EUV光刻機,預計在2022年開始出貨。 根據ASML之前的報告,去年他們出貨了26台EUV光刻機,預計2020年交付35台EUV光刻機,2021年則會達到45台到50台的交付量,是2019年的兩倍左右。 目前ASML出貨的光刻機主要是NXE:3400B及改進型的NXE:3400C,兩者基本結構相同,但NXE:3400C採用模塊化設計,維護更加便捷,平均維修時間將從48小時縮短到8-10小時,支持7nm、5nm。 此外,NXE:3400C的產能也從之前的125WPH(每小時處理晶圓數)提升到了175WPH。 不論NXE:3400B還是NXE:3400C,目前的EUV光刻機還是第一代,主要特點是物鏡系統的NA(數值孔徑)為0.33。 ASML最近紕漏他們還在研發新一代EUV光刻機EXE:5000系列,NA指標達到了0.55,主要合作夥伴是卡爾蔡司、IMEC比利時微電子中心。 與之前的光刻機相比,新一代光刻機意味着分辨率提升了70%左右,可以進一步提升光刻機的精度,畢竟ASML之前的目標是瞄準了2nm甚至極限的1nm工藝的。 不過新一代EUV光刻機還有點早,至少到2022年才能出貨,大規模出貨要到2024年甚至2025年,屆時台積電、三星等公司確實要考慮3nm以下的製程工藝了。 作者:憲瑞來源:快科技
泛林、ASML研發EUV新技術減少90%光刻膠用量 成本大降

泛林、ASML研發EUV新技術減少90%光刻膠用量 成本大降

2月28日,美國泛林公司宣布與ASML阿斯麥、IMEC比利時微電子中心合作開發了新的EUV光刻技術,不僅提高了EUV光刻的良率、分辨率及產能,還將光刻膠的用量最多降至原來的1/10,大幅降低了成本。 泛林Lam Research的名字很多人不清楚,前不久中芯國際宣布的6億美元半導體設備訂單就是購買的泛林的產品。 泛林是一家美國公司,也是全球半導體裝備行業的巨頭之一,與應用材料、KLA科磊齊名,2019年營收95億美元,在全球半導體裝備行業位列第四,僅次於ASML、TEL日本東京電子及KLA。 泛林生產的設備主要是蝕刻機、CVD(化學氣相沉積)、清洗、鍍銅等設備,其中來自中國市場的客戶是第一大來源。 這次取得重大突破的不是半導體裝備,而是一項用於EUV光刻圖形化的干膜光刻膠技術,而光刻膠是半導體生產中最重要的原料之一,尤其是EUV光刻膠,門檻極高,全球僅有幾家公司能產。 泛林表示,全新的干膜光刻膠技術將有助於提高EUV光刻的分辨率、生產率和良率。 泛林集團的干膜光刻膠解決方案提供了顯著的EUV光敏性和分辨率優勢,從而優化了單次EUV光刻晶圓的總成本。 根據泛林所說,全新的干膜光刻膠應用和顯影技術可以實現更低的劑量和更高的分辨率,從而增加生產率並擴大曝光工藝窗口。 此外,通過將原材料的用量降低至原來的五分之一到十分之一,泛林集團的干膜光刻膠技術不僅為客戶大幅節省了運營成本,同時還為環境、社會和公司治理提供了一種更加可持續的解決方案。   作者:憲瑞來源:快科技
ASML EUV光刻機非常復雜 只有我們能造出來

ASML EUV光刻機非常復雜 只有我們能造出來

很多人都知道半導體工藝中光刻機是最核心的,因為它決定了半導體工藝的技術水平,而且成本能占到整個芯片的1/3。在進入7nm節點之後,光刻機也需要升級到EUV光刻機,目前僅有荷蘭ASML公司能造,該公司CEO表示EUV光刻機非常復雜,沒有可能被復制,全球只有ASML能生產。 據荷蘭媒體報道,在1月22日的ASML財報會議上,ASML公司CEO Peter Wennink談到了EUV光刻機的問題,他解釋說ASML是EUV光刻機的系統集成商,這套設備集成了全球數百家公司的技術,擁有超過8000多個零部件,許多零件都是非常復雜的。 Peter Wennink表示,以鏡頭為例(註:物鏡系統是光刻機三大核心之一,其他兩個是激光系統、工作檯),卡爾蔡司為ASML提供光學鏡頭,集成了各種反光鏡及光學部件,世界上沒有哪家公司能夠復制他們的技術。 Peter Wennink強調,ASML的光刻機裝有傳感器,一旦檢測到異常情況就會發出警報。 根據ASML財報的最新財報,2019年該公司交付了26台EUV光刻機,遠超上一年的18台,預計2020年交付35台EUV光刻機,2021年則會達到45台到50台的交付量,是2019年的兩倍左右。 作者:憲瑞來源:快科技
台積電5nm測試芯片良率已達80% 明年上半年大規模量產

台積電5nm測試芯片良率已達80% 明年上半年大規模量產

IEEE IEDM大會上,台積電官方披露了5nm工藝的最新進展,給出了大量確鑿數據,看起來十分的歡欣鼓舞。 5nm將是台積電的又一個重要工藝節點,分為N5、N5P兩個版本,前者相比於N7 7nm工藝性能提升15%、功耗降低30%,後者在前者基礎上繼續性能提升7%、功耗降低15%。 台積電5nm將使用第五代FinFET晶體管技術,EUV極紫外光刻技術也擴展到10多個光刻層,整體晶體管密度提升84%——7nm是每平方毫米9627萬個晶體管,5nm就將是每平方毫米1.771億個晶體管。 台積電稱5nm工藝目前正處於風險試產階段,測試芯片的良品率平均已達80%,最高可超過90%,不過這些芯片都相對很簡單,如果放在復雜的移動和桌面芯片上,良品率還做不到這麼高,但具體數據未公開。 具體來說,台積電5nm工藝的測試芯片有兩種,一是256Mb SRAM,單元面積包括25000平方納米的高電流版本、21000平方納米的高密度版本,後者號稱是迄今最小的,總面積5.376平方毫米。 二是綜合了SRAM、CPU/GPU邏輯單元、IO單元的,面積占比分別為30%、60%、10%,總面積估計大約17.92平方毫米。 按照這個面積計算,一塊300mm晶圓應該能生產出3252顆芯片,良品率80%,那麼完好的芯片至少是2602個,缺陷率1.271個每平方厘米。 當然,現代高性能芯片面積都相當大,比如麒麟990 5G達到了113.31平方毫米。 按照一顆芯片100平方毫米計算,1.271個每平方厘米的缺陷意味着良品率為32%,看着不高但對於風險試產階段的工藝來說還是完全合格的,足夠合作夥伴進行早期測試與評估。 另外,AMD Zen2架構每顆芯片(八核心)的面積約為10.35×7.37=76.28平方毫米,對應良品率就是41%。 台積電還公布了5nm工藝下CPU、GPU芯片的電壓、頻率對應關系,CPU通過測試的最低值是0.7V、1.5GHz,最高可以做到1.2V 3.25GHz,GPU則是最低0.65V 0.66GHz、最高1.2V 1.43GHz。當然這都是初步結果,後續肯定還會大大提升。 台積電預計,5nm工藝將在2020年上半年投入大規模量產,相關芯片產品將在2020年晚些時候陸續登場,蘋果A14、華為麒麟1000系列、AMD Zen4架構四代銳龍都是妥妥的了,只是據說初期產能會被蘋果和華為基本吃光。   文章糾錯 作者:上方文Q來源:快科技
中芯國際稱7nm EUV光刻機問題已解決 技術研發步入正軌

中芯國際稱7nm EUV光刻機問題已解決 技術研發步入正軌

在半導體工藝進入10nm節點之後,製造越來越困難,其中最復雜的一步——光刻需要用到EUV光刻機了,而後者目前只有荷蘭ASML阿斯麥公司才能供應。中芯國際去年也訂購了一台EUV光刻機,日前該公司表示與ASML之間已解決光刻機的問題,EUV技術研發步入正軌。 前不久有消息稱ASML停止對中芯國際供應EUV光刻機,隨後ASML公司表示不是停供,而是延期,主要是在准備該國政府的出口申請文本工作。 中芯國際董事長周子學日前在韓國訪問,韓媒報道稱周子學表態已經解決了與ASML之間就光刻機供應存在的問題,強調中芯國際在先進工藝上的研發、生產一直處於穩定的軌道上,與客戶及設備供應商之間沒有任何問題。 ASML公司是EUV光刻機目前唯一的供應商,今年四季度,ASML預計交付8台EUV光刻機,平均每台價格達到了1.2億歐元,折合人民幣9.3億元,堪稱人類最昂貴的設備了。 文章糾錯 作者:憲瑞來源:快科技
台積電7nm+大量供貨:近40年終於實現EUV光刻

台積電7nm+大量供貨 近40年終於實現EUV光刻

台積電今天宣布,N7+ 7nm+工藝已經大批量供應給客戶,這是該公司乃至全產業首個商用EUV極紫外光刻技術的工藝。 EUV光刻採用波長為10-14nm的極紫外光作為光源,可使曝光波長直接降到13.5nm,研發,推動更先進工藝。 EUV光刻技術早在20世紀80年代就已經研發出來,最初計劃用於70nm工藝,但光刻機指標一直達不到需求,加之成本居高不下,芯片廠商不得不引入沉浸式光刻、雙重乃至多重曝光來開發新工藝。 按照台積電的說法,他們的EUV光刻機已經可以在日常生產中穩定輸出超過250W的功率,完全可以滿足現在以及未來新工藝的需求。 三星7nm工藝也在引入EUV,不過進展相比台積電要落後很多。 台積電表示,7nm+的量產速度也是公司史上最快的之一,今年第二季度就已量產,並且在良品率上迅速達到了已經量產1年多的7nm工藝的水平。 7nm+相比於7nm在性能上可帶來15-20%的晶體管密度提升,同時改進了功耗。 台積電7nm+工藝已經應用於多家客戶的產品,但官方沒有給出具體名單,目前唯一可完全確認的就是華為麒麟990 5G,AMD下一代Zen 3架構也一直標注為7nm+工藝。 接下來,台積電將繼續奔向6nm、5nm、3nm、2nm,其中6nm(N6)相當於7nm的升級版,設計規則完全兼容,繼續採用EUV技術,晶體管密度可比7nm提升18%,預計明年第一季度試產,明年底量產。 作者:上方文Q來源:快科技