Home Tags 光刻機

Tag: 光刻機

ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

美國計劃落空:光刻機巨頭ASML不走了 仍然留在荷蘭

快科技4月23日消息,荷蘭對光刻機巨頭阿斯麥離開的消息感到十分擔憂,但現在情況有了明顯的好轉。 根據媒體報導,荷蘭晶片設備製造商阿斯麥公司已與荷蘭埃因霍溫市簽署了一份意向書,計劃在該市北部機場附近進行擴建,預計將能夠容納多達20000名新員工。 此前,荷蘭政府宣布了一項價值25億歐元的計劃,將在未來幾年內用於改善阿斯麥總部所在地的住房、教育、交通和電網等基礎設施。 除了基礎設施改善外,荷蘭政府還將採取行動來減輕企業的稅收負擔。 此前,阿斯麥曾向荷蘭政府表達了向其他地方擴張或遷移的意向,其中法國和美國都是備選地點。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

無視美國 繼續提供維修服務 ASML:中國客戶買買買光刻機

快科技4月22日消息,今年一季度,ASML有近一半收入(19億歐元、占比49%)來自中國大陸市場。 如果拋開最先進的極紫外(EUV)光刻機,中國大陸的收入占比達到91%。 作為對比,去年一季度,這兩個比例分別只有8%和17%。美國政府限製得越凶,中國公司反而買得更多了。 ASML預計,今年中國地區的銷售額將有 10% 到 15% 受到出口管制措施的影響。 盡管如此,CFO羅傑·達森(Roger Dassen)在財報電話會上說,今年剩下的日子裡中國客戶需求將持續強勁。 阿斯麥財務長達森稱,中國客戶約占公司積壓訂單的20%。“中國的需求很強勁。其產能增加是合理的,並且符合本世紀後半段的全球需求。” “目前,沒有什麼能阻止我們為中國客戶已經購買的產品提供服務”,公司CEO溫寧克說道。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

美國施壓不准向中國提供光刻機維修服務 ASML再回應:沒理由停止

快科技4月19日消息,光刻機製造商ASML的CEO公開表示,沒有理由不為中國客戶提供售後服務。 荷蘭晶片設備製造商阿斯麥(ASML)周三發布的財報顯示,第一季度淨預訂量從去年第四季度的92億歐元降至36億歐元。 阿斯麥財務長達森稱,中國客戶約占公司積壓訂單的20%。“中國的需求很強勁。其產能增加是合理的,並且符合本世紀後半段的全球需求。” “目前,沒有什麼能阻止我們為中國客戶已經購買的產品提供服務”,溫寧克稱。 媒體表示,阿斯麥是歐洲市值最高的科技公司,而它現在已經成為美國政府用以遏制中國晶片產業發展的靶子。 近日,美國高官要求荷蘭政府下令,禁止阿斯麥為在現有銷售禁令實施前中國采購的受限制晶片製造設備提供服務和維修。 來源:快科技

美國禁止ASML維修售華光刻機 ASML官方回應:攔不住

快科技4月18日消息,根據最新公布的財報,ASML今年一季度淨銷售額52.90億歐元(約合人民幣406.5億元),環比下滑26.9%;毛利率51.0%,環比減少0.4個百分點;淨利潤12.24億歐元(約合人民幣94.1億元), 當季,ASML售出光刻機共70台,其中全新66台、二手4台,最新的EUV極紫外光刻機賣了11台,同時新增訂單金額為36億歐元,其中6.56億歐元訂單來自EUV業務。 按照地區劃分,中國大陸地區貢獻了49%的銷量,環比增加10個百分點;EMEA(歐洲中東和非洲)占20%,韓國占19%,台灣降至6%。 受出口管制政策影響,ASML的先進光刻機無法銷售到中國大陸市場,官方預計對2024年中國大陸地區銷售額的影響約為10-15%,並強調相關規則暫時沒有進一步變化。 不過,美國仍在進一步施壓。 美國商務部負責工業和安全的副部長Alan Estevez此前曾提出,將推動禁止ASML為已經銷售給中國大陸的光刻機設備提供維修售後服務,尤其是涉及到關鍵零部件。 ASML總裁兼首CEO Peter Wennink對此明確回應稱:“我認為根據我們已經有的結論,沒有什麼可以阻止我們為中國現有的已安裝系統提供服務。” 他進一步表示,這些是兩國政府之間需要討論的事情,因為涉及到所謂的國家安全利益,ASML會提供相關意見,並確保被充分考慮。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

光刻機巨頭阿斯麥業績爆雷 股價大跌:網友支招7nm以下EUV給中國廠商供貨

快科技4月18日消息,光刻機巨頭阿斯麥(ASML)業績爆雷,這也導致公司股價大幅下挫。 阿斯麥發布的2024年第一季度財報。財報數據顯示,公司今年一季度營收端大幅下滑,實現營收52.9億歐元,同比下降21.6%,不及市場預期:公司一季度淨利潤為12.24億歐元,同比下滑37.4%。 另外,第一季度該公司的新增訂單額為36.1億歐元(其中包括6.56億歐元的EUV訂單),遠低於市場預期的51億歐元。 相較於2023年第四季度創紀錄的91.9億歐元的訂單額,今年一季度的訂單下滑了近三分之二。 總部位於荷蘭的阿斯麥占據了高達80%的高端光刻機市場份額。全球晶片廠商最先進位程晶片所需的EUV光刻設備,全部都來自阿斯麥。 對於這樣糟糕的成績,有不少人士也是“支招”,7nm或者更先進的光刻機,只管給中國廠商供應,保證生意火爆到不行。 來源:快科技

ASML公布2024Q1財報:中國市場貢獻近半營收

近日,ASML(阿斯麥)公布了2024年第一季度財報。ASML執行長Peter Wennick表示,2024年是一個過渡的年份,將繼續在產能提升和技術方面進行投資,為周期的轉變做好准備。 2024年第一季度財報顯示,該季度中淨銷售額為53億歐元(約合人民幣409.04億元),毛利率為51%,淨利潤為12億歐元(約合人民幣92.61億元)。在該季度里,淨預定量為36億歐元(約合人民幣277.84億元),其中6.56億歐元(約合人民幣38.7億元)自於EUV系統。 從ASML展示的材料來看,中國大陸是ASML最大的客戶,貢獻了49%的淨銷售額,比起2023年第四季度的39%表現更為強勁,已連續三個季度成為ASML最大市場。從1988年首台ASML設備運到中國大陸,到2023年底,ASML在中國大陸的光刻機加上量測的機台裝機量接近1400台。 與此同時,ASML也更新了股票回購計劃和股息提案。在2023年總股息為每股普通股6.10歐元,與2022年相比增長了5.2%。考慮到2023年和2024年支付了三次每股普通股1.45歐元的中期股息,向年度股東大會提議的最終股息為每股普通股1.75歐元。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約4億歐元的股票。 ASML預計2024年第一季度的淨銷售額在57億歐元到62億歐元之間,毛利率在50%到51%之間,研發成本約為10.7億歐元,SG&A成本約為2.95億歐元。Peter Wennick稱,ASML對全年的展望保持不變,預計下半年的表現會強於上半年,與行業從低迷中復蘇的趨勢一致。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

美國施壓:不准向中國廠商提供光刻機維修服務 ASML回應服務正常

快科技4月18日消息,ASML公開表示,將繼續為中國大陸廠商提供設備維修服務。 此前有消息稱,美國計劃向荷蘭施壓,試圖阻止ASML在中國提供部分設備的維修服務。 在業績電話會上,ASML執行長溫寧克回應稱,“目前沒有什麼可以阻止我們為在中國大陸安裝的設備提供服務。” 光刻機是製造晶片的關鍵設備,中國大陸是ASML的第二大市場。 因此,這種限制可能對中國的晶圓製造商產生重大影響,特別是對於維護產線穩定運行所必需的光刻機核心部件的供應和維護。 之前外界擔心,受限的光刻機主要是NXT:2000i及更先進的機型,而其他未受限的光刻機型銷售和維護則不受影響。 來源:快科技

ASML 2024年一季度賣出70台光刻機:淨利潤12億歐元

快科技4月17日消息,ASML(阿斯麥)今天公布了2024年第一季度財報,當季實現淨銷售額52.90億歐元(約合人民幣406.5億元),環比下滑26.9%;毛利率51.0%,環比減少0.4個百分點;淨利潤12.24億歐元(約合人民幣94.1億元),環比下滑40.2%。 淨銷售額處於預測營收區間的中間值,毛利率高於預期,主要原因是浸潤式光刻機、EUV業務收入更高, 當季售出光刻機共70台,其中全新66台、二手4台,同時新增訂單金額為36億歐元,其中6.56億歐元訂單來自EUV業務。 過去半年累計新增訂單金額近130億歐元。 ASML預計第二季度淨銷售額57-62億歐元,毛利率50-51%,2024年全年收入將與2023年基本持平,今年被視為調整年。 ASML預計2025年將是強勁增長的一年,淨銷售額可達300-400億歐元,大部分銷售的低數值孔徑EUV光刻機型號將是NXE:3800,高數值孔徑型號EXE:5200也會推出,從而大幅拉高平均售價和毛利率,可達54-56%。 產能方面,ASML未來將擴大到年產90台低數值孔徑EUV、600台DUV,中期達到年產20台高數值孔徑EUV。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

消息稱美國對ASML施壓:不准向中國廠商提供光刻機工具維修服務

4月5日消息,據媒體報導,美國正在對荷蘭頂級晶片生產設備製造商ASML施加壓力,不允許其向中國廠商提供光刻機工具維修服務。 ASML是全球最大的光刻機製造商之一,也是唯一的極紫外(EUV)光刻機供應商。 美國對ASML的施壓始於2019年,意圖限制接收荷蘭設備的中國晶片製造商名單,並阻止ASML在中國提供維修服務。 光刻機是製造晶片的關鍵設備,中國大陸是ASML的第二大市場。因此,這種限制可能對中國的晶圓製造商產生重大影響,特別是對於維護產線穩定運行至關重要的光刻機核心部件的供應和維護。 目前,受限的光刻機主要是NXT:2000i及更先進的機型,而其他未受限的光刻機型銷售和維護則不受影響。 然而,如果相關設備零部件涉及美國技術來源,則可能受到美國的出口限制。 這一舉措突顯了全球晶片產業鏈中的地緣政治風險,也凸顯了技術制裁對於關鍵技術行業的影響。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

光刻機龍頭ASML要走 荷蘭出手送大招:預留13億歐元挽留

快科技3月29日消息,據媒體報導稱,荷蘭政府正積極行動,力求確保光刻機技術領軍者ASML繼續紮根本土。 根據荷蘭媒體披露的文件草案,該計劃包括恢復對技術移民的稅收減免,並為阿斯麥總部所在地——埃因霍溫地區的發展預留10億至13億歐元資金。 ASML執行長溫寧克(Peter Wennink)此前曾警告稱,該公司高度依賴熟練的外國勞工,並擔心荷蘭的商業環境正在惡化。 今年1月,溫寧克表示:“限制勞動力遷移的後果是巨大的,我們需要這些人進行創新。如果我們在這里找不到這些人,我們就去其他可以發展的地方。” 總部位於荷蘭的ASML是歐洲第三大市值公司,市值接近3650億歐元。 去年,ASML實現了創紀錄的276億歐元營收,較2022年增長了30%,淨利潤激增至78億歐元,增加了約22億歐元。 來源:快科技

晶片製造速度起飛 台積電、新思科技首次採用NVIDIA計算光刻平台:最快加速60倍

快科技3月19日消息,GTC 2024大會上,NVIDA正式宣布,為加快下一代先進半導體晶片的製造速度並克服物理限制,TSMC (台積電)和Synopsys(新思科技)將在生產中率先使用NVIDIA計算光刻平台。 眾所周知,台積電是全球領先的晶圓代工廠,而新思科技則是晶片到系統設計解決方案的領導者。二者已經將 NVIDIA cuLitho加速計算光刻光平台,集成到其軟體、製造工藝和系統中,在加速晶片製造速度的同時,也加快了對未來最新一代NVIDIA Blackwell架構GPU的支持。 在現代晶片製造過程中,計算光刻是至關重要的一步,是半導體製造中最苛刻的工作負載,需要大規模的數據中心,而隨著時間的推移,矽小型化演進過程呈指數級放大了計算的需求。 如果使用CPU來計算,每年需要在計算光刻上消耗數百億個小時。比如一個典型的晶片掩模,就需要3000萬小時或更長時間的CPU計算時間。藉助加速計算,350個NVIDIA H100 GPU現在可以取代40,000 CPU 系統,從而縮短生產時間,同時降低成本、空間和功耗。 據悉,NVIDIA的計算光刻平台可以將半導體製造最密集的計算工作負載加速40-60倍。 NVIDIA還推出了新的生成式AI算法,該算法將進一步增強cuLitho的效率,與當前基 CPU計算的方法相比,極大地改進了半導體製造工藝。 “計算光刻是晶片製造的基石,”NVIDIA創始人兼CEO黃仁勛說。“我們與台積電和新思科技合作在cuLitho上工作,應用加速計算和生成式人工智慧,為半導體擴展開辟了新的領域。” 來源:快科技

29億元 Intel曬史上最貴開箱:全球首台高NA光刻機已裝機

快科技3月5日消息,Intel發布了一條特殊的開箱視頻,堪稱史上最貴:他們從ASML拿到的全球第一台高NA EUV光刻機,已經開始在美國俄勒岡州希爾斯伯勒附近的工廠內安裝了。 這台型號為Twinscan EXE:5000的光刻機著實是個龐然大物,運輸過程中動用了250個貨箱,總重約150噸,先用飛機從荷蘭運到俄勒岡州波特蘭,再用卡車分批次拉到工廠。 目前安裝的還只是核心組件,全部搞定需要250多名ASML、Intel的工程師,耗時約6個月,然後還得花時間調試。 這台光刻機主要作為研究之用,將會在Intel 18A節點上進行測試,但大規模量產得等到剛剛宣布的Intel 14A節點上,時間預計2025-2026年左右 。 該光刻機可以實現8nm的解析度,而現有低NA光刻機單次曝光只能做到13nm,同時電晶體密度幾乎可以增加3倍。 大家非常關心的價格上,ASML的說法是大約3.8億美元,但這只是起步價,更高配置自然更貴,Intel CEO帕特·基辛格透露在4億美元左右,也就是逼近29億元。 相比之下,低NA EUV光刻機約為1.83億美元。 除了Intel,三星、台積電、SK海力士也都訂購了高NA EUV光刻機,首批訂單有數十台。 來源:快科技

ASML研究超級NA光刻機 2036年沖擊0.2nm工藝

快科技2月17日消息,ASML已經向Intel交付第一台高NA EUV極紫外光刻機,將用於2nm工藝以下晶片的製造,台積電、三星未來也會陸續接收,可直達1nm工藝左右。 那麼之後呢?消息稱,ASML正在研究下一代Hyper NA(超級NA)光刻機,繼續延續摩爾定律。 ASML第一代Low NA EUV光刻機只有0.33 NA(孔徑數值),臨界尺寸(CD)為13.5nm,最小金屬間距為26nm,單次曝光下的內連接間距約為25-30nm,適合製造4/5nm工藝。 使用雙重曝光,可將內連接間距縮小到21-24nm,就能製造3nm工藝了,比如台積電N3B。 第二代EUV光刻機提高到了0.55 NA,臨界尺寸縮小到8nm,金屬間距最小約為16nm,可製造3-1nm,比如Intel就透露會在1.4nm節點上首次使用。 ASML CTO Martin van den Brink在接受采訪時確認,ASML正在調查開發Hyper NA技術,繼續推進各項光刻指標,其中NA數值將超過0.7,預計在2030年左右完成。 它表示,這種新型EUV光刻機適合製造邏輯處理器晶片,相比高NA雙重曝光成本更低,也可用來製造DRAM內存晶片。 ASML已披露的數據顯示,低NA光刻機的成本至少1.83億美元,高NA光刻機更是3.8億美元起步。 根據微電子研究中心(IMEC)的路線圖,2030年左右應該能推進到A7 0.7nm工藝,之後還有A5 0.5nm、A3 0.3nm、A2 0.2nm,但那得是2036年左右的事兒了。 來源:快科技

27億元 ASML公開展示高NA EUV光刻機:能造2nm以下工藝

近日,全球光刻機大廠ASML首次在其荷蘭總部向媒體公開展示了最新一代的High NA EUV光刻機。 除了已經率先獲得全球首台High NA EUV光刻機的英特爾之外,台積電和三星訂購High NA EUV預計最快2026年陸續到位,屆時High NA EUV將成為全球三大晶圓製造廠實現2nm以下先進位程大規模量產的必備“武器”。 ASML發言人Monique Mols在媒體參觀總部時表示,一套High NA EUV光刻系統的大小等同於一台雙層巴士,重量更高達150噸,相當於兩架空中客車A320客機,全套系統需要250個貨箱來裝運,裝機時間預計需要250名工程人員、歷時6個月才能安裝完成,不僅價格高昂也相當耗時。 根據此前的爆料顯示,High NA EUV的售價高達3.5億歐元一台,約合人民幣27億元。 Monique Mols解釋稱:“我們不斷進行工程設計和開發,還有大量工作要做來校準它並確保它適合製造系統。” “我們和我們的客戶也有一個陡峭的學習曲線。”預計ASML今年還將發貨“一些”(High NA EUV系統),並且在定製和安裝方面仍有工作要做。 ASML CEO Peter Wennink表示,AI需要大量運算能力和數據儲存,如果沒有ASML將無法實現,這也是公司業務一大驅動力。ASML上季收到的EUV設備訂單也創下了歷史新高。 英特爾在2023年12月已率先拿下了全球首台High NA EUV光刻機,並已經開始在英特爾俄勒岡州晶圓廠安裝。 此前外界預計該設備將會被英特爾用於其最先進的Intel 18A製程量產,不過,日前英特爾CEO基辛格(Pat...

台積電不用新一代EUV光刻機 2023年的1nm再說

快科技2月8日消息,,但是台積電一直不為所動,可能要到1nm工藝時代才會跟進。 Intel計劃將高NA EUV光刻機用於Intel 18A後的製程節點,也就是超過1.8nm,時間大概在2026-2027年。 Intel此前公布的路線圖上,18A之後已經安排了三個新的製程節點,但尚未具體命名。 基辛格透露,預計命名為15A,將在德國工廠量產。 台積電對於高NA EUV光刻機引入計劃則一直守口如瓶,有多個消息來源稱台積電還在觀望評估,目前計劃要等到1nm工藝節點才會上馬,而時間要等到2030年左右了。 台積電目前正在沖刺2nm工藝,預計2025-2027年間量產,單晶片可集成超過1000億個電晶體,單個封裝可超5000億個。 然後是1.4nm、1nm,其中後者計劃2030年左右量產,將在單顆晶片內集成超過2000億個電晶體,單個封裝內則超過1萬億個,相比N2工藝翻一倍。 有趣的是,Intel也計劃在2030年做到單個封裝1萬億個電晶體,可謂針鋒相對。 來源:快科技

ASML揭秘全球第一台高NA EUV光刻機:解析度直達8nm

經過十年的研發,ASML 於 2023 年 12 月正式向英特爾交付了首個High NA(高數值孔徑)EUV 光刻系統——TWINSCAN EXE:5000的首批模塊, 代表著尖端晶片製造向前邁出了重要一步。 近日,ASML發布了一篇題為《關於高數值孔徑 EUV 光刻你應該了解的 5 件事》的科普文章,對於High-NA光刻系統進行了進一步的介紹。 以下為芯智訊對該文章的翻譯: 目前晶片製造商依然是依靠電晶體微縮來推動微晶片技術的進步。雖然,這並不是改進晶片的唯一方法,例如,新穎的架構、先進封裝等也可以提高性能。但摩爾定律本質上成為普遍法則是有原因的 :50 多年來,電晶體“微縮”一直是計算能力指數級增長的幕後推手。 多年來,我們一直在將深紫外 (DUV) 光刻技術 推向極限。為了減小可光刻的最小特徵的尺寸(稱為臨界尺寸 (CD)),我們可以通過調整兩個主要的參數:光的波長 λ 和數值孔徑 NA。 然而,現在我們的 DUV...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

暴漲500億 ASML賺大了:中國廠商狂買光刻機 擴產28nm等成熟製程

快科技1月25日消息,ASML送上了超預期的財報,所以公司市值一夜暴漲500億也是情理之中的事情。 ASML第四季度訂單激增 250%,從第三季度的26億歐元暴漲至91.9億歐元,最先進的極紫外光刻機已經拿下了56億歐元的訂單。 更重要的是,ASML表示,2023年淨銷售額增長30%,達到276億歐元。其中,中國市場占其光刻系統銷售額的29%,高於前一年的14%。 中國在去年第四季度占ASML銷售額的39%,成為該公司2023年的最大市場,而此前第一季度僅占8%。 在這樣的背景下,公司股價也是一度大漲近10%。ASML CEO在接受媒體采訪時表示,在經歷了一段需求放緩的時期之後,他現在看到了半導體行業的積極跡象,人工智慧需要大量的算力,將成為“我們的業務和客戶的業務的重要推動力”。 報告顯示中國廠商正在擴大28nm等成熟製程工藝 來源:快科技

ASML公布2023Q4及全年財報:表現略高於預期,訂單積壓量減少

今天,ASML(阿斯麥)公布了2023年第四季度和全年財報。 在2023年第四季度中,淨銷售額為72億歐元(約合人民幣561.98億元),毛利率為51.4%,淨利潤為20億歐元(約合人民幣156.11億元),整體表現略高於ASML預期。在2023年第四季度里,淨預定量為92億(約合人民幣718.09億元)歐元,其中56億歐元(約合人民幣437.1億元)自於EUV系統。在該季度里,ASML沒有根據2022-2025年股票回購計劃購買任何股票。在該季度里,ASML向客戶交付了業界首台High-NA EUV光刻機。 ASML在2023年全年淨銷售額為276億歐元(約合人民幣2154.26億元),相比2022年增長了30%,毛利率為51.3%,淨利潤為78億歐元(約合人民幣608.81億元)。截至2023年末,積壓的訂單總量為390億歐元(約合人民幣3044.07億元),低於去年同期的404億歐元。 2023年總股息為每股普通股6.10歐元,與2022年相比增長了5.2%。每股普通股1.45歐元的中期股息將於2024年2月14日支付。考慮到這一中期股息以及2023年支付的兩次每股普通股1.45歐元的中期股息,提交給股東大會的最終股息建議為每股普通股1.75歐元。 ASML預計,2024年第一季度的淨銷售額在50億歐元到55億歐元之間,毛利率在48%到49%之間,研發成本約為10.7億歐元,SG&A成本約為3億歐元。ASML執行長Peter Wennick表示,半導體行業繼續走在周期的底部,客戶對今年半導體市場的復蘇仍不確定,但也有一些積極的跡象,第四季度的強勁訂單顯然支持了未來的需求。ASML對2024年保持保守看法,預計收入與2023年接近。 ...

ASML 2023年淨賺608億元:EUV光刻機第四季度賣了436億

快科技1月24日消息,荷蘭光刻機巨頭ASML(阿斯麥)今天發布了2023年第四季度及全年財報,業績好得令人羨慕。 2023年第四季度,ASML淨銷售額72億歐元(¥561.0億),高於預期,毛利率51.4%,淨利潤達20億歐元(¥155.8億)。 經歷了幾個“平淡”的季度後,四季度新增訂單金額多達92億歐元(¥716.8億),其中56億歐元(¥436.3億)來自EUV光刻機。 2023全年,ASML淨銷售額達到276億歐元(¥2150.6億),毛利率為51.3%,淨利潤為78億歐元(¥607.7億)。 其中,尚未交付的訂單總額為390億歐元(¥3038.6億)。 ASML 2023年第四季度售出全新光刻機105台、二手光刻機7台,全年售出全新光刻機421台,年增104台,售出二手光刻機28台,與上年持平。 不過,ASML並未披露EUV光刻機的具體銷售數字。 ASML預計,2024年淨銷售額將與2023年基本持平,毛利率略微降低。 毛利率降低的原因一是EUV光刻機業務繼續增長,但浸潤式光刻機業務會有下降,二是將投資擴大產能。 其中,2024年第一季度淨銷售額預計約為50-55億歐元(¥389.6-428.5億),毛利率約為48-49%。 2025年毛利率預計將提高到54-56%,主要得益於EUV光刻機業務的更快增長,以及2024年產能投資的回報。 來源:快科技

ASML:對中國出口管制已生效 NXT:2000i及以上光刻機無法發運

快科技1月24日消息,,ASML財務長Roger Dassen接受了視頻采訪,其中提到了大家非常關注的光刻機對中國出口問題。 Roger Dassen表示,2023年,ASML在中國市場的業績表現確實非常強勁,原因有兩個方面。 一是交付給中國客戶的大部分光刻機設備都是基於2022年甚至更早的訂單。 過去幾年,包括中國市場在內的全球光刻機訂單交付率其實相對偏低,還不到50%,因此,當其他客戶的需求時間節點發生變化時,ASML能夠向中國客戶交付已經預訂的設備。 正因為中國地區的交付量在增加,其他地區同時在減少,所以中國市場所占的ASML光刻機份額出現了相對明顯的上升。 二是發運到中國的光刻機設備主要針對成熟製程客戶。 Roger Dassen確認,荷蘭和美國最新頒布的出口管製法規已經生效,ASML對出口管制的適用范圍和影響,與政府做了進一步溝通。 ASML預計,出口管制將會影響ASML 2024年中國市場10-15%的銷售額,然而仍可看到終端市場對於成熟製程的需求依舊旺盛。這部分市場的需求很穩定,去年很穩定,未來也將繼續保持穩定。 可以預計的是,2024年,ASML將不會獲得向中國發運NXT:2000i及以上浸潤式光刻機設備的出口許可證。 同時,個別中國先進晶片製造晶圓廠將無法獲得發運NXT:1970i、NXT:1980i浸潤式光刻機設備的出口許可證。 來源:快科技

3+億美元能造1.8nm Intel收到全球第一台高NA EUV極紫外光刻機

快科技1月7日消息,Intel官方宣布,位於俄勒岡州的晶圓廠已經收到ASML發貨的全球第一台高NA(數值孔徑) EUV極紫外光刻機,型號為“Twinscan EXE:5000”,它將幫助Intel繼續推進摩爾定律。 Intel早在2018年就向ASML訂購了這種新一代光刻機,將用於計劃今年量產的Intel 18A製造工藝,也就是1.8nm級別。 Intel還第一個下單了改進型的Twinscan EXE:5200,將在2025-2026年用於更先進工藝。 根據此前報導,ASML將在2024年生產最多10台新一代高NA EUV光刻機,其中Intel就定了多達6台。 到了2027-2028年,ASML每年都能生產20台左右的高NA EUV光刻機。 新光刻機的價格估計至少3億美元,甚至可能達到或超過4億美元,也就是逼近人民幣30億元,而現有低NA EUV光刻機需要2億美元左右。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

7nm高端DUV光刻機出口被撤銷 ASML:中國客戶積壓訂單都已交付

快科技1月2日消息,據ASML官方公告,2023年NXT:2050i、NXT:2100i光刻系統的出貨許可證被撤銷,不過這沒有太多可以擔心的地方。 按照ASML的說法,2023年基本交付了中國大陸客戶預付的DUV積壓訂單(至於2024年是不是可以繼續,ASML之前就表示不能確定。)。 事實上,2023年ASML一直都在向中國客戶供應光刻機,其中就包含了NXT:2000i和更先進的DUV型號的產品,且基本交付了中國大陸客戶預付的DUV積壓訂單。 至於先進的7nm、14nm等先進的EUV光刻機,ASML依然不能給中國客戶出售。 據ASML官網提供的信息,該公司目前在售的主流浸沒式DUV光刻機產品共有三款,分別是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。 ASML官網上關於這一台TNXT:1980Di介紹,在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光解析度,事實上光刻機是可以進行多次曝光。 來源:快科技

近30億元 ASML明年生產10台高NA EUV光刻機:Intel獨吞6台

快科技12月21日消息,集邦咨詢的報告顯示,ASML阿斯麥將在2024年生產最多10台新一代高NA(數值孔徑) EUV極紫外光刻機,其中Intel就定了多達6台。 同時,三星星也在積極角逐新光刻機,台積電感覺壓力巨大。 NA數值孔徑是光刻機光學系統的重要指標,直接決定了光刻的實際解析度,以及最高能達到的工藝節點。 金屬間距縮小到30nm以下之後,也就是對應的工藝節點超越5nm,低NA光刻機的解析度就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。 因此,更高的NA成為必需。 ASML 9月份曾宣布,,型號“Twinscan EXE:5000”,從0.33做到0.55,光刻解析度縮小到8nm,可製造2nm工藝乃至更先進的晶片。 ASML沒有公布第一台高NA EUV光刻機的客戶,但業界普遍認為正是Intel。 Intel最初就計劃利用新光刻機投產Intel 18A工藝,但因為等不及,只能改用已有的0.33 NA NXE:3600D/3800E疊加雙重曝光。 ASML明年量產的高NA EUV光刻機,將是改進型的Twinscan EXE:5200,支持大規模量產。 未來,ASML將把年產量進一步提高到20台左右。 這種新光刻機的成本和價格沒有公開,猜測至少3億美元,甚至可能達到或超過4億美元,也就是逼近人民幣30億元。 目前的低NA EUV光刻機需要2億美元左右。 來源:快科技

聯想突然發了個RX 6600 LE:頻率加快4MHz

聯想上架了一台IdeaCentre GeekPro 2023台式機,其中顯卡很罕見,叫做RX 6600 LE。 根據聯想給出的規格,該卡基於RDNA2架構,配備1792個流處理器,核心加速頻率2495MHz,搭配128-bit 8GB GDDR6顯存,等效頻率14GHz。 這些參數和公版的RX 6600如出一轍,唯一區別就是核心頻率高了4MHz,僅此而已,但不知道整卡功耗是否依然132W。 該卡整體造型緊湊簡潔,疑似金屬外殼,雙風扇,內部多條熱管,覆蓋背板,接口提供三個DP 1.4a、一個HDMI 2.1a。 不知道聯想為什麼取了個特別的名字,LE或許代表聯想?青春版?限量版? 聯想這台主機還有i5-13400F處理器、16GB DDR4-3200內存、512GB PCIe 4.0 SSD、2.5G網卡等配置,容量17升,3D立體前面板和呼吸式炫藍光柱,價格為4799元。 來源:快科技

日本尼康宣布全新ArF浸沒式光刻機:精度小於2.1納米、價格便宜30%

快科技12月10日消息,尼康宣布,將於2024年1月正式推出ArF 193納米浸沒式光刻機“NSR-S636E”,生產效率、套刻精度都會有進一步提升。 據悉,尼康這款曝光機採用增強型iAS設計,可用於高精度測量、圓翹曲和畸變校正,重疊精度(MMO)更高,號稱不超過2.1納米。 解析度小於38納米,鏡頭孔徑1.35,曝光面積為26x33毫米。 對比當前型號,它的整體生產效率可提高10-15%,創下尼康光刻設備的新高,每小時可生產280片晶圓,停機時間也更短。 尼康還表示,在不犧牲生產效率的前提下,新光刻機可在需要高重疊精度的半導體製造中提供更高的性能,尤其是先進邏輯和內存、CMOS圖像傳感器、3D快閃記憶體等3D半導體製造,堪稱最佳解決方案。 另據了解,新光刻機的光源技術是20世紀90年代就已經成熟的“i-line”,再加上相關零件、技術的成熟化,價格將比競品便宜20-30%左右。 不過,目前尚不清楚尼康這款光刻機能製造多少納米的晶片。 日本尼康、佳能與荷蘭阿斯麥(ASML)曾經是光刻機三巨頭,但因為點錯了科技樹,沒有跟上阿斯麥的193納米浸沒式光刻技術,逐漸沒落,尤其是在EUV極紫外光刻技術上毫無建樹。 為了生存,尼康、佳能基本放棄了對尖端光刻技術的角逐,更專注於難度更低、價格更低的成熟工藝光刻設備。 但他們也並非一無是處,比如佳能研發了納米壓印技術(NIL),無需EUV就能製造5納米晶片。 來源:快科技
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

浸潤式光刻之父:依靠DUV可從7nm推向5nm 但成本將非常高

11月27日消息,近日有著“浸潤式光刻之父”之稱的林本堅(Burn Lin)在接受采訪時表示,依靠DUV光刻機繼續將製程工藝從7nm推向5nm是可能,但是需要付出高昂的代價。 報導稱,由於美日荷對華半導體設備的限制,使得中國不僅難以獲得可以製造先進位程的半導體設備,同時更為先進的EUV光刻機也無法獲得。這也使得中國繼續將制裁工藝推進到5nm將會面臨困境。 不過,林本堅表示,依託現有的DUV光刻機(浸沒式)製造出5nm晶片依然是可行的,但是至少需要進行四重曝光。不幸的是,這種工藝的缺點是不僅耗時,而且價格昂貴,還會影響整體良率。 特別是在使用 DUV 機器時,在多次曝光期間需要精確對准,這可能需要時間,並且有可能發生未對準的情況,從而導致產量降低和製造這些晶圓的時間大幅增加。 林本堅表示,浸沒式DUV光刻技術最高可以實現六重光刻模式,可以實現更先進的工藝,但問題同樣來自上述相關缺點。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

5nm、7nm彎道超車別想 佳能納米壓印「光刻機」無法出口中國 美國封殺

快科技11月9日消息,據媒體報導稱,佳能CEO Fujio Mitarai透露,公司的新納米壓印技術將為小型半導體製造商生產先進晶片開辟一條道路,但不會賣給中國廠商。 由於該設備可以用於製造5nm尖端製程晶片,且不是基於光學技術,引起了中國廠商的興趣,但可能無法實現。 今年7月,日本擴大了對晶片製造出口的限制,但沒有明確提到納米壓印光刻技術。 Mitarai稱,佳能可能無法將這些機器運往中國。我的理解是,14nm技術以外的任何產品都是禁止出口的。 據悉,納米壓印技術採用與傳統投影曝光技術不同的方法形成電路圖案,該設備不僅功耗更低、更環保,而且成本遠低於現階段ASML的EUV光刻機。 Mitarai重申:“我不認為納米壓印技術會取代EUV,但我相信這將創造新的機會和需求,我們已經收到了很多客戶的咨詢。” 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

7nm高端DUV買不少 ASML:今年基本交付中國客戶預付光刻機積壓訂單

快科技10月20日消息,ASML(阿斯麥)CEO在2023 Q3業績電話會上表示,今年基本交付了中國大陸客戶預付的DUV積壓訂單。 不過,疊加出口管制新規,公司明年DUV收入將會減少,總銷售收入可能會有所下降。 這位CEO還表示,“受可再生能源、電動汽車、工業物聯網、電信基礎設施等領域龐大投資驅動,中國大陸對次關鍵和成熟製程的需求將會很大。” 今年9月初的時候,ASML就已經表示,獲得荷蘭官方的允許,在今年年底前向中國客戶出口其部分先進工具。 按照ASML的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品,這些產品從9月1日起就受到限制。 至於2024年是不是可以繼續,ASML則表示暫時不能確定。 據ASML官網提供的信息,該公司目前在售的主流浸沒式DUV光刻機產品共有三款,分別是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。 ASML官網上關於這一台TNXT:1980Di介紹,在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光解析度,事實上光刻機是可以進行多次曝光。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

光刻機巨頭ASML回應美國出口管制新規:受影響的中國晶圓廠數量有限

10月18日消息,對於AI晶片的管控,美國升級了新規,除了英偉達、AMD、Intel這些受傷外,ASML也在其中。 美國周二頒布了更新後的關於先進計算和半導體製造設備規則,增加了對先進晶片製造技術的出口限制。這些規定將在30天後生效。 對此,荷蘭光刻機巨頭阿斯麥(ASML)在聲明中表示,“根據目前收到的信息,我們認為適用該新規的涉及先進晶片製造的中國大陸晶圓廠數量有限。” ASML表示鑒於新規的篇幅和復雜性,它需要仔細評估潛在的影響。 “從中長期角度來看,這些出口管制措施可能會影響到我們不同的機台銷售量在各區域間的配比,但我們預計這些措施不會對公司2023 年的財務情況以及我們在2022年11月投資者日公布的2025年和2030年的長期展望產生重大影響。” ASML表示。 美國半導體行業協會 (SIA) 發布聲明,,而不會強化國家安全,因為此舉會鼓勵海外客戶轉向其他地方。 因此,我們敦促美國政府加強與盟友的協調,以確保所有公司有一個公平的競爭環境。 來源:快科技

ASML公布2023Q3財報:營收符合預期,明年屬於過渡年份

ASML(阿斯麥)公布了2023年第三季度財報,顯示該季度中淨銷售額為67億歐元(約合人民幣518.55億元),毛利率為51.9%,淨利潤為19億歐元(約合人民幣147.05億元)。 在2023年第三季度,淨預定量為26億(約合人民幣201.23億元)歐元,其中5億歐元(約合人民幣38.7億元)自於EUV系統。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約1億歐元的股票。此外,中期股息為每股普通股1.45歐元(約合人民幣11.22元),將於2023年11月10日支付。 ASML執行長Peter Wennick表示,2023年第三季度的淨銷售額處於預期范圍內的中間位置,同時毛利率51.9%高於預期,主要原因是該季度內受到DUV產品組合與部分一次性成本影響。半導體行業目前正在經歷周期的底部,今年年底應該會出現拐點,不過仍然不確定復蘇的形態,因此預計2024年屬於一個過渡年份,收入與2023年相若,為2025年的顯著增長做好准備。 ASML預計2023年第四季度的淨銷售額在67億歐元到71億歐元之間,毛利率在50%到51%之間,研發成本約為10.3億歐元,SG&A成本約為2.85億歐元。Peter Wennick稱,ASML確認了對2023年強勁增長的預期,淨銷售額增長接近30%,毛利率相對於2022年略有改善。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

DUV光刻機恐也不讓出口:ASML回應 正評估潛在影響

10月18日消息,美國正在限制英偉達的人工智慧(AI)晶片的銷售,同時還有光刻機,對此ASML也是火速回應。 有消息稱,美國還在限制進入一些國家/地區的設備清單中添加了一些深紫外(DUV)光刻系統,這超出了荷蘭最近的規定,以阻止荷蘭ASML發送較舊的DUV型號和備件。 DUV光刻機不如最先進的極紫外(EUV)光刻機,但可以以更高的成本製造幾乎同樣先進的晶片。 ASML表示,“ASML需要仔細評估潛在的影響。就我們的業務而言,根據目前收到的信息,我們認為適用該新規的涉及先進晶片製造的中國大陸晶圓廠數量有限。從中長期角度來看,這些出口管制措施可能會影響到我們不同的機台銷售量在各區域間的配比,但我們預計這些措施不會對公司2023年的財務情況以及我們在2022年11月投資者日公布的2025年和2030年的長期展望產生重大影響。ASML將向美國政府進一步澄清這些新規的適用范圍。” 按照ASML之前的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品。 來源:快科技

繞過EUV光刻機技術 佳能開始銷售5nm晶片生產設備

10月14日消息,光刻機大廠佳能(Canon)公司近日通過新聞稿宣布,其已經開始銷售基於“納米印刷”(Nanoprinted lithography)技術的晶片生產設備 FPA-1200NZ2C。佳能表示,該設備採用不同於復雜光刻技術的方案,可以製造5nm晶片。 在半導體製程技術進入5nm節點之後,EUV光刻機已經成為了不可或缺的關鍵設備。但是,因為EUV光刻機造價高昂,每台價格超過1億美元,而且EUV光刻機僅荷蘭ASML一家產生能夠供應,且產能有限,這使得晶片的生產成本大幅升高。 為此,從2017 年開始,半導體設備廠佳能就與存儲晶片大廠鎧俠,以及光罩等半導體零組件製造商大日本印刷株式會社(DNP)合作,在日本三重縣四日市的鎧俠工廠內研發基於納米壓印(NIL) 的量產技術,可以不使用EUV光刻機,就能使製程技術推進到5nm。 佳能表示,這套生產設備的工作原理和行業領導者 ASML 的光刻機不同,其並不利用光學圖像投影的原理將集成電路的微觀結構轉移到矽晶圓上,而是更類似於印刷技術,直接通過壓印形成圖案。 相較於目前已商用化的EUV光刻技術,鎧俠在2021年就曾表示,NIL 技術可大幅減少耗能,並降低設備成本。原因在於NIL 技術的微影製程較為單純,耗電量可壓低至EUV 技術的10%,並讓設備投資降低至僅有EUV 設備的40%。目前,EUV光刻機只有荷蘭ASML一家能夠生產供應,其不但價格高,而且需要許多檢測設備的配合。 不過,雖然NIL 技術有許多的優點,但現階段在導入量產上仍有不少問題有待解決,其中包括更容易因空氣中的細微塵埃的影響而形成瑕疵。 對鎧俠來說,NAND 零組件因為採取3D 立體堆疊結構,更容易適應NIL技術製程。鎧俠當時就表示,當前已解決NIL 的基本技術問題,正在進行量產技術的推進工作,希望能較其他競爭對手率先引入到NAND 生產當中。而一旦鎧俠能成功率先引入NIL 技術並實現量產,有望彌補在設備投資競賽中的不利局面,又能符合減少碳排放的需求。 根據DNP 的說法,NIL 量產技術電路微縮程度可達5nm節點,而DNP 從2021 年春天開始,就已經在根據設備的規格值進行內部的模擬仿真當中。而對於這樣的技術進步,DNP 也透露,從半導體製造商對NIL 量產技術詢問度的增加,顯示不少廠商對NIL 技術寄予厚望。 但是,鎧俠在對NIL技術進行測試之後,遭到了潛在客戶提出的投訴,認為產品缺陷率較高,最後並未實際應用。 作為關鍵的設備提供商,佳能在推動NIL技術量產NAND的同時,也致力於將NIL...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

稱自研光刻機 能產7nm晶片 俄羅斯還要造10台超級計算機:英偉達GPU受限 也自研?

10月9日消息,據俄羅斯媒體報導稱,該國計劃2030年建造多達10台超級計算機,每台可能容納1萬到1.5萬個英偉達H100 GPU,這將為俄羅斯提供類似於用於訓練Chat GPT的性能。 不過目前的問題是,每台計算機可能配備1萬到1.5萬個英偉達H100 GPU,他們被限制根本搞不到這麼多。 如果說通過第三方渠道的話,如此數量的H100 GPU根本不太可能,所以俄羅斯可能會自研來解決? 在這之前俄羅斯方面還曾喊話,要自研光刻機,能生產7nm晶片,其還將為兩大白俄羅斯微電子領域項目提供約100億盧布信貸支持,受資助企業包括集成電路成套工藝領域的Integral和精密光刻設備領域的Planar。 在這之前,俄羅斯科學院發豪語2028年自行研發的光刻機將問世,可生產出7nm晶片。據報導,國際制裁對俄羅斯斷供後,造成俄國晶片短缺,加以美國、英國和歐盟也祭出多項制裁,幾乎所有擁有先進晶圓製造商都停止與俄羅斯實體合作,ARM也無法將他們的技術授權給俄羅斯的晶片設計師。 俄羅斯大諾夫哥羅德策略發展機構發豪語,宣稱俄羅斯科學院旗下應用物理研究所將會跌破所有人眼鏡,在2028年開發出可以生產7納米晶片的光刻機,還可擊敗ASML同類產品。 來源:快科技

能造EUV光刻機的歐洲:第一次有了自己的EUV生產線

EUV極紫外光刻機雖然出自歐洲的荷蘭,但是歐洲一直沒有自己的EUV生產線,直到現在。 當地時間9月29日,位於愛爾蘭萊克斯利普(Lexilip)附近的Intel Fab 34晶圓廠,正式開始使用EVU光刻工藝大規模量產生產Intel 4工藝的Meteor Lake處理器,也就是酷睿Ultra。 Intel CEO帕特·基辛格、技術開發總經理Ann Kelleher博士、首席全球運營官Keyvan Esfarjani將參加開工儀式。 這是美國本土俄勒岡州Fab D1之後,Intel第二座量產Intel 4 EUV工藝的晶圓廠,也是歐洲第一次有了自己的EUV生產線。 Intel使用了所謂的“Copy Exactly!”(精準復制),將美國工廠的生產線百分百復刻到愛爾蘭工廠,以提高良率、保證性能一致性。 Intel 4工藝也就是曾經的7nm,號稱相比Intel 7(10nm ESF)在同等功耗下頻率提升21.5%,同等頻率下功耗降低40%,電晶體密度增加1倍,這其中很大的功勞都來自EUV。 來源:快科技

受3nm需求低迷影響,ASML明年EUV光刻機出貨量或下跌30%

如果要推進到7nm以下的先進位程工藝,ASML(阿斯麥)的EUV(極紫外光)光刻機可以說是必不可少的製造工具。為此在過去幾年裡,與EUV相關的設備成為了眾多半導體製造商爭搶的對象,一直處於供不應求的狀態,為此ASML還提高了產量。如果要購買一台EUV光刻機,往往還需要提前數月預訂。 對於EUV光刻機的運用最為成熟的當屬台積電(TSMC),這也是其領先於其他競爭對手的關鍵,目前已推進到3nm製程節點,蘋果也在剛剛上市的iPhone 15 Pro系列機型上採用了3nm工藝製造的A17 Pro晶片,不過這並沒有帶動3nm需求上升。據Wccftech報導,由於蘋果即將到來的新款iPad和MacBook Pro等產品的需求下降,加上高通因華為新機型導致3nm晶片訂單減少、三星3nm GAA和英特爾20A工藝需求不如預期,預計2024年市場對3nm產能的需求也會隨之下降。 此前就有報導稱,過去台積電、三星和英特爾等企業瘋狂爭搶EUV設備的熱度已經降溫,為縮小先進位程差距而不斷燒錢的三星和英特爾估計也會跟進台積電的做法,砍掉部分EUV設備的訂單。同時有消息指出,台積電還要求包括ASML在內的主要晶片製造工具供應商推遲交付晶圓廠所需要的設備。 ASML的報告顯示,2023年計劃出貨60台EUV光刻機,實際上前六個月僅出貨22台。隨著2023年EUV光刻機的出貨量下降,ASML對應的營收增長幅度也由原先預計的40%下調至25%。對EUV光刻機需求減弱的趨勢也將延續到明年,分析稱2024年ASML的EUV光刻機出貨量將大幅度下跌20%至30%。 ...

台積電要求供應商推遲交付設備,其中包括ASML

過去一段時間里,台積電(TSMC)的營收受到了全球整體經濟不景氣、終端市場需求減弱、以及客戶不斷進行庫存調整的影響。雖然台積電在全球多處有新建晶圓廠的工程,不過隨著產能需求減弱,最終還是選擇削減了資本支出的預算,減緩了部分項目的進度。 據相關媒體報導,台積電已要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備,原因是客戶需求存在不確定性,以及位於美國亞利桑那州的在建晶圓廠Fab21的工程面臨延期。這些供應商中包括了ASML(阿斯麥),合理推測台積電打算延後接收光刻設備,這也是晶圓廠里最昂貴的工具之一。 據了解,目前台積電同時進行的晶圓廠項目除了美國的Fab21外,還有兩處在台灣、一處在日本,德國的項目剛剛敲定還沒有開工。此外,台積電還需要不斷為現有的晶圓廠添加工具,以提高產能,顯然現在遇到了挫折,希望通過延遲接受設備放緩資本支出。台積電總裁魏哲家此前曾表示,疲軟的經濟環境及客戶變得更加保守的趨勢,都可能影響台積電的決策。 ASML執行長Peter Wennick此前接受媒體采訪時承認,目前設備訂單交付上出現了一些延遲,但仍保持樂觀的態度,認為這種情況只是短期的管理挑戰。盡管面臨較多不確定性,但ASML預計2023年的業績仍能實現強勁增長,淨銷售額將增長30%,毛利率將相對於2022年會略有改善。 ...
蘋果ARM自研處理器成本曝光 只是Intel的四分之一、續航更長

5nm晶片無需光刻機 中國科技公司已申請製造專利 看完有點奇怪

9月15日,一家中國科技公司申請的“5納米晶片製造的直接蝕刻方法”專利正式公布。 摘要顯示,該發明涉及晶片設計及製造。這項發明的亮點在於,不用EUV光刻機或DUV光刻機,不需要光刻過程,直接蝕刻就可以製造5納米晶片。此專利一經公布,便引起了大量網友的關注。對於申請這項專利的中國科技公司,相信不少網友都很好奇。 申請這項專利的中國科技公司名叫“上海創消新技術發展有限公司”。根據企查查APP提供的信息,上海創消新技術發展有限公司於2019年3月13日正式成立,注冊資本為50萬元人民幣,所屬地區為上海市青浦區,法定代表人為劉明革,他同時也是上海敏革化學科技有限公司的股東和監事,持有上海創消新技術發展有限公司85%的股份,另外15%的股份由“劉佳慧”持有,並沒有什麼大公司持有上海創消新技術發展有限公司的股份。 工商信息顯示,上海創消新技術發展有限公司的注冊資本包括機械技術、電子技術、化工技術、建築技術、生物技術領域內的技術開發、技術轉讓、技術服務和技術咨詢。值得注意的是,這家公司的經營范圍並沒有涉及晶片相關的內容,例如晶片設計和晶片製造。 來源:快科技

ASML CEO:拿光刻機孤立中國毫無意義 他們能找到解決辦法

當地時間9月6日,全球光刻機龍頭大廠ASML執行長Peter Wennink接受荷蘭新聞電視節采訪時,強調了知識移民的必要性,並警告孤立中國的經濟後果。Peter Wennink認為,排除知識移民和美國施加的出口限制可能會削弱荷蘭。 Peter Wennink對知識移民的立場很明確:“他們對荷蘭經濟不可或缺。” 他指出,知識移民為經濟做出貢獻並納稅,ASML公司的員工來自多達124個國家,其中許多人來自印度、土耳其、比利時和葡萄牙。 然而,ASML 的執行長並不是唯一一個強調知識移民重要性的人。 經合組織建議,如果荷蘭想要保持經濟增長,針對移民工人的規則必須變得更加靈活。荷蘭多個行業的技術工人日益短缺,所需數量將超過歐盟工人。 Peter Wennink還批評了荷蘭大學只用荷蘭語教學的想法。他指出,科技行業是用英語運作的。因此,使用英語作為教學語言將有助於營造更具包容性的教育環境,並增加荷蘭大學對國際學生的吸引力。 荷蘭的教育體系在吸引知識移民方面發揮著至關重要的作用。數據顯示,來到荷蘭的非歐盟知識移民數量從 2016年的320個到2022年已增長到1860個。這一增長的部分原因在於荷蘭提供的安全和豐富的就業機會。 Peter Wennink還擔心荷蘭與中國的經濟關系,特別是荷蘭政府在美國的壓力下實施的出口限制。 他認為孤立中國是毫無意義的,因為中國有14億居民,在面臨歐洲和美國的限制時,他會尋求解決方案。 上周,華為就推出了Mate 60 Pro,這款手機使用了中國製造的晶片。 荷蘭現任政府在移民問題上存在分歧。一些政黨主張更容易的勞動力遷移,而另一些政黨則不情願。 然而,考慮到Peter Wennink等專家的意見,吸引更多的知識移民並與中國等國保持良好的經濟關系似乎對荷蘭未來的經濟增長至關重要。 來源:快科技

ASML今年發貨第一台高NA EUV光刻機:成本逼近30億元

EUV光刻技術的推進相當困難,光刻機龍頭ASML也是舉步維艱,一點點改進。 快科技9月7日消息,ASML宣布,將在今年底發貨第一台支持高NA(數值孔徑)的EUV極紫外光刻機,型號“Twinscan EXE:5000”。 NA數值孔徑是光刻機光學系統的重要指標,直接決定了光刻的實際解析度,以及最高能達到的工藝節點。 ASML現有最先進的EUV光刻機是NEX:3400C、NEX:3400D,NA只有0.33,對應的解析度為13nm,可以生產金屬間距在38-33nm之間的晶片。 但是,金屬間距縮小到30nm以下之後,也就是對應的工藝節點超越5nm,這樣的解析度就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。 因此,更高的NA成為必需,新一代EXE:5000就能做到0.55 NA,光刻解析度也將縮小到8nm。 EXE:5000有點像是實驗平台,供晶片製造廠學習如何使用高NA EUV技術,而預計2025年發貨的下一代EXE:5200,才能支持大規模量產。 Intel最初計劃在其18A(1.8nm)工藝節點使用ASML的高NA EUV光刻機,2025年量產,但後來提前到了2024年下半年,等不及ASML的新機器。 於是,Intel就改用0.33 NA NXE:3600D/3800E,疊加雙重曝光來實現18A工藝,同時使用應用材料的Endura Sculpta的曝光成形系統來盡可能減少雙重曝光的使用。 盡管如此,Intel依然會是高NA EUV光刻機的第一家客戶,可能會在18A節點的後期引入它。 台積電、三星都計劃在2025年晚些時候投產2nm工藝,或許也會用上高NA EUV光刻機。 至於這種先進光刻機的價格,沒有官方數據,不同報告估計在單台成本就要3-4億美元,相當於人民幣22-29億元。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

7nm高端DUV光刻機仍可出口 ASML:最大程度保證中國用戶光刻機訂單

ASML已經獲得荷蘭官方的允許,在今年年底前向中國客戶出口其部分先進工具。 按照ASML的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品,這些產品從9月1日起就受到限制。 雖然ASML沒有透露具體要交付的單數,但他們也暗示,確實現在的訂單多到做不完,但是會保證在規定時間內向中國客戶大力交單。 今年6月和7月,中國進口的半導體設備價值總額接近50億美元,相比去年同期的29億美元增長了70%,其中大部分進口的半導體設備來自於荷蘭和日本。 自去年10月,美國限制先進半導體設備對華出口之後,另外兩大半導體設備強國——日本和荷蘭也相繼出台了對先進半導體設備的出口管制規定。 來源:快科技