Home Tags 台積電

Tag: 台積電

SK海力士將選擇台積電7nm工藝,用於生產HBM4的基礎裸片

近日,SK海力士宣布與台積電(TSMC)簽署了諒解備忘錄(MOU),雙方就下一代HBM產品生產和加強整合HBM與邏輯層的先進封裝技術密切合作。SK海力士計劃與台積電合作開發第六代HBM產品,也就是HBM4,預計在2026年投產。 據The Elec報導,SK海力士打算選擇台積電的7nm工藝,用於生產HBM4所需要的基礎裸片(Base Die),這是雙方針對搭載於HBM封裝內最底層的基礎裸片優化工作的一部分。HBM是將多個DRAM裸片(Core Die)堆疊在基礎裸片上,並通過矽通孔(TSV)技術進行垂直連接而成。基礎裸片也連接至GPU,起著對HBM進行控制的作用。 SK海力士包括HBM3E(第五代HBM產品)在內的HBM產品,都是基於自身製程工藝製造了基礎裸片,但HBM4選擇台積電的先進邏輯工藝,以便增加更多的功能。雙方還計劃將SK海力士的HBM產品和台積電的CoWoS技術融合,做進一步的協力優化工作,以應相關客戶對HBM產品的要求。 此外,SK海力士還計劃生產在性能和功效等方面更廣的滿足客戶需求的定製化(Customized)HBM產品。根據SK海力士的安排,2026年將實現HBM4的批量生產。 ...

台積電表示由於電價、勞動力和材料成本較高,將對海外工廠製造的晶片收取溢價

近年來,台積電(TSMC)改變了只在台灣生產晶片的戰略,開始向海外擴張,先後選擇在美國、德國和日本建造新的晶圓廠。不過相比於本地製造晶片,這些海外工廠的生產成本會更高,因此台積電在定價方面也會做出一些改變。 據Seeking Alpha報導,台積電執行長魏哲家在2024年第一季度財報電話會議上表示:「如果我的客戶要求進入某個特定領域,那麼台積電和客戶肯定必須分擔增量成本。我們在海外確實遇到了一些更高的成本問題,比如最近的通貨膨脹和電價。我們希望客戶與我們分擔這些高出的成本,已經開始與客戶進行討論。」 魏哲家的這番表態並不令人感到意外,畢竟過去台積電就已多次抱怨海外工廠遇到的高成本問題。顯然,未來台積電的客戶如果希望在特定地點的晶圓廠生產晶片,那麼台積電就會收取溢價,以維持毛利率。至於具體的溢價是多少,還有待確認。去年曾有消息稱,選擇在美國亞利桑那州的Fab 21使用N4/5製程生產晶片,可能比本土生產相同的晶片貴了20%至30%。 事實上,即便在台積電在台灣的晶圓廠最近也遇到了電價上漲的問題。根據當地主管部門最後確定的工業用電價格調整方案,台積電作為用電第一大戶,增幅達到了最高級的25%。台積電稱,此輪電價上漲導致下季度毛利率下降0.6%至0.7%,下半年影響更大,達到0.7%至0.8%。加上本月初花蓮近海地震造成的損失,估計台積電最後會選擇提高代工價格來解決。 ...

台積電公布2024Q1財報:受智慧型手機季節性因素影響,3/5nm是業績支撐點

近日,台積電(TSMC)公布了2024年第一季度業績,顯示收入達到了5926.4億新台幣(約合人民幣1318.62億元),同比增長16.5%,環比則減少了5.3%。若以美元計算,收入為188.7億美元,同比增長12.9%,環比減少3.8%,這一數字在台積電略微高出預期值(180億美元到188億美元之間)。 台積電在2024年第一季度的淨利潤為2254.9億新台幣(約合人民幣501.72億元),攤薄後每股收益為新台幣8.70元(1.38美元每ADR單位),相比去年同期兩者均增加了8.9%。如果與2023年第四季度的財報比較,2023年第三季度的淨利潤減少了5.5%。 在2023年第四季度,台積電的毛利率、營業利潤率和稅後純益率分別為53.0%、41.6%和38.2%。到了2024年第一季度,台積電的毛利率略微升至53.1%,營業利潤率升至42.0%,同時稅後純益率略微降至38.0%。 在2024年第一季度里,3nm、5nm和7nm工藝的出貨量分別占總收入的9%、37%和19%,三者相加達到了銷售金額的65%,低於上一季度的67%,主要是3nm工藝的收入減少了,目前先進工藝占據了台積電大概三分之二的收入。在台積電的定義里,7nm或更先進的工藝都稱為先進工藝。 台積電表示,2024年第一季度的營收受到了智慧型手機的季節性因素影響,不過部分被HPC的需求所抵消,進入第二季度後,將受到智慧型手機季節性需求的持續影響,業績的主要支撐點來自於市場對3nm和5nm工藝的需求。台積電預計2024年第二季度的收入將在196億美元至204億美元之間(假設新台幣兌換美元的平均匯率為32.3兌1),毛利率在51%至53%之間,營業利潤率在40%至42%之間。 ...

SK海力士和台積電簽署諒解備忘錄,在HBM4研發和下一代封裝技術上展開合作

SK海力士宣布,已經與台積電(TSMC)簽署了諒解備忘錄(MOU),雙方就下一代HBM產品生產和加強整合HBM與邏輯層的先進封裝技術密切合作。SK海力士計劃與台積電合作開發第六代HBM產品,也就是HBM4,預計在2026年投產。 SK海力士表示:「公司作為AI應用的存儲器領域的領先者,與全球頂級邏輯代工企業台積電攜手合作,將會繼續引領HBM技術創新。通過以構建IC設計廠、晶圓代工廠、存儲器廠三方技術合作的方式,公司將實現存儲器產品性能的新突破。」 據了解,SK海力士和台積電首先致力於針對搭載於HBM封裝內最底層的基礎裸片(Base Die)進行性能改善。HBM是將多個DRAM裸片(Core Die)堆疊在基礎裸片上,並通過矽通孔(TSV)技術進行垂直連接而成。基礎裸片也連接至GPU,起著對HBM進行控制的作用。 SK海力士包括HBM3E(第五代HBM產品)在內的HBM產品,都是基於公司自身製程工藝製造了基礎裸片,但HBM4開始會採用台積電的先進邏輯(Logic)工藝,以便增加更多的功能。SK海力士和台積電將協力優化SK海力士的HBM產品和台積電的CoWoS技術融合,以應相關客戶對HBM產品的要求。 此外,SK海力士還計劃生產在性能和功效等方面更廣的滿足客戶需求的定製化(Customized)HBM產品。 ...

蘋果探索使用台積電SoIC技術,為未來晶片設計做准備

近年來,台積電(TSMC)和蘋果在尖端晶片製造方面有著密切的合作,這也是蘋果能夠在市場競爭中獲得優勢的原因之一。作為台積電最大的客戶,蘋果不但占據著其四分之一的收入,而且會積極投資先進位程節點,以保證競爭優勢,同時還會與供應鏈的合作夥伴一起探討封裝技術,比如3D Fabric。 據Wccftech報導,蘋果探索使用台積電SoIC技術,已經在進行小規模測試,為未來晶片設計做好准備。SoIC技術能夠為晶片帶來一些好處,比如可以降低功耗。不過暫時還不清楚,蘋果打算在產品線的哪些晶片上應用SoIC技術。 過去兩年裡,AMD在Ryzen 5000/7000X3D系列上取得的成功,背後得益於台積電3DFabric先進封裝平台的支持。其3D V-Cache技術植根於Hybrid Bond概念的先進封裝,在高端晶片率先啟用台積電「SoIC+CoWoS」的封裝服務獲得了不小的收益。近年來AMD在技術選擇上更為大膽、進取,在產品線成功引入先進封裝技術也被其他廠商看在眼裡。 SoIC基於CoWoS+WoW的封裝方式,與一般的2.5D解決方案相比,不僅可以降低整體功耗,還能擁有更高的密度和更快的傳輸速率,從而帶來更高的內存帶寬。SoIC另一個好處是占用面積小,能讓蘋果有足夠的自由度批量生產更小的晶片,節省空間。此外,SoIC技術降低了集成電路板的價格,節省大量成本。 傳聞蘋果會先進行小規模試產工作,最早於2025年開始大規模生產,但更可能選擇在2026年。 ...

英偉達Blackwell新平台產品需求看漲,或帶動台積電全年CoWoS產能提升逾150%

今年3月,在美國加州聖何塞會議中心舉行的GTC 2024大會上,英偉達創始人兼CEO黃仁勛帶來了Blackwell架構GPU,新款數據中心產品再次鞏固了自身在人工智慧市場的主導地位,開創了AI計算的新時代。 Blackwell平台產品包括了用於取代H100/H200的B200 GPU,另外還有與Grace CPU相結合的GB200。同時英偉達在去年末,還以現有的Hopper架構為基礎,推出了H200和GH200產品線,在Blackwell平台產品到來之前作為過渡,其中GH200占據了英偉達高端GPU約5%的出貨量。雖然距離發貨還有一段時間,但供應鏈對GB200寄予厚望,預計2025年出貨量可能上百萬級別,占據英偉達高端GPU約40%至50%的出貨量。 據TrendForce報導,Blackwell平台的產品將採用更復雜、更高精度的CoWoS-L封裝技術,驗證方面也耗費更多的時間,預計B100、B200和GB200等產品要到今年第四季度才會少量出貨,真正放量至少要等到2025年第一季度。 B100、B200和GB200等產品也需要消耗更多的CoWoS產能,這也迫使台積電(TSMC)在2024年需要全面提升封裝產能,預計年底每月產能將達到4萬片,相比於2023年提升至少150%。此外,台積電已經在規劃2025年的CoWoS產能計劃,很可能還要實現倍增,其中英偉達的需求占據了一半以上。 年初有報導稱,英偉達轉向英特爾尋求封裝服務。不過英特爾的技術仍然以CoWoS-S封裝為主,最多隻能滿足英偉達H系列產品的要求,短期內技術也難有突破,所以相應的產能擴張計劃也較為保守,除非未來能額外得到其他訂單。 ...

2023年全球Top 25半導體公司:台積電位列第一,美國企業超半數

據TechInsights報導,The McClean Report在4月份的更新包括了2023年全球Top 25半導體供應商的最終排名,半導體銷售額包括了IC和O-S-D器件(光電子、傳感器和分立器件)。 要躋身2023年全球Top 25半導體供應商名單,銷售額要達到59億美元,其中也包含了晶圓代工廠。這些公司里,有13家的總部在美國,超過了一半;台灣、歐洲和日本各有3家;韓國有2家;中國大陸有1家。 全球最大的晶圓代工廠台積電(TSMC)在2023年的銷售額下降9%,至693億美元,但仍位居榜首;第二名是以往經常高居榜首的英特爾,在2023年的銷售額下降14%,至515億美元;第三名是2022年登頂的三星,下次滑落了兩名,由於存儲器市場低迷,在2023年的銷售額下降34%,至509億美元;第四名是去年大火的明星企業英偉達,排名提升了四名,在2023年的銷售額增長102%,至496億美元;第五名是高通,在2023年的銷售額下降16%,至309億美元;第六名是博通,在2023年的銷售額增長12%,至300億美元;第七名是韓國另外一間存儲器大廠SK海力士,在2023年的銷售額下降28%,至250億美元;第八名是AMD,在2023年的銷售額下降4%,至227億美元;第九名和第十名都來自歐洲,分別是英飛凌和意法半導體,在2023年的銷售額分別增長了10%和7%,至174億美元和172億美元。 與三星和SK海力士一樣,美光受到了存儲器市場的不利影響,在2023年的銷售額下降35%,至167億美元,從去年的第七名跌到了第十一名。中國大陸地區唯一進入Top 25的是中芯國際,在2023年的銷售額下降13%,至63億美元,排在第二十四名。 ...

分析稱CPU和AI產品銷售推動台積電未來增長,英特爾訂單或成為關鍵

台積電(TSMC)即將召開今年第一季度財報電話會議,不少金融投資機構都陸續發表對台積電現狀和未來預期的最新報告,比如日本大型券商野村證券。台積電在全球半導體行業中占據了關鍵位置,其財務狀況可以說是整個行業的晴雨表。 據Wccftech報導,野村證券的報告對台積電的未來充滿樂觀情緒,目標股價也從之前的新台幣700元調高至975元,與高盛的預期一致,並維持買入的評級。野村證券認為,台積電未來增長的催化劑來自於CPU和人工智慧(AI)產品的銷售,這意味著台積電商業模式的轉變,過去數年裡主要得益於全球智慧型手機使用量的增長。 野村證券表示,英特爾正在尋求降低Intel 18A工藝的風險,Nova Lake或採用台積電的2nm工藝,這些訂單可能成為台積電收入增長的關鍵。同時英特爾一定程度上也更加依賴台積電的3nm工藝,比如即將到來的Arrow Lake,這一點已得到執行長帕特-基爾辛格(Pat Gelsinger)的證實。此外,台積電的主要客戶對2nm產品更深入的了解,也將推動台積電收入的增長。 野村證券還向上調整了台積電的財務指標,將台積電2024年全年收入預期上調了2.5%。野村證券預計,台積電從2024年至2025年與人工智慧相關的收入將增長12%至16%,全年的每股收益預期也從新台幣37.42元上調至38.82元。 ...

台積電從《晶片法案》獲得66億美元補貼,計劃在鳳凰城建造第三座晶圓廠

台積電(TSMC)宣布,與美國商務部簽署了一份不具約束力的初步條款備忘錄(PMT),後者將根據《晶片法案》向英特爾提供約66億美元的直接撥款,另外初步提供最高55億美元的貸款。台積電還計劃就亞利桑那州工廠資本支出中符合條件的部分,向美國財政部申請最高25%的投資稅收抵免。 台積電同時還敲定了在亞利桑那州鳳凰城建造第三座晶圓廠,通過最先進的製程技術來滿足當地客戶的需求。隨著新增第三座晶圓廠,台積電的Fab21項目的資本支出從400億美元提升至650億美元以上。台積電表示,第三座晶圓廠預計將創造約6000個直接高科技、高薪工作機會,打造充滿活力和具備競爭力的全球半導體生態系統勞動力。 據了解,Fab21項目的一期工程初期投入120億美元,選擇了4/5nm工藝的生產線,目前進展良好,計劃在2025年上半年投產;二期工程原計劃選擇3nm工藝的生產線,現在將推進至2nm工藝,預計在2028年投產,前兩期工程加起來的總產能為每月5萬片晶圓;新增三期工程將採用2nm或更先進的製程技術,預計2030年之前投產。 台積電還將實踐綠色製造的承諾,在能源效率、節水、廢物管理和空氣污染管控等方面不斷創新,目標實現90%的水回收率,亞利桑那州工廠已就達到「近零液體排放」的目標進行工業再生水廠的設計階段。 ...

台積電收獲美國840億元現金+貸款 第三座晶圓廠超越2nm

快科技4月9日消息,美國政府宣布,計劃向台積電提供66億美元現金補貼、50億美元低息貸款,總額116億美元,約合人民幣840億元,支持其在美國本土的晶片製造。 這是美國根據《晶片與科學法案》所批準的最大一筆投資。 同時,台積電計劃在美國亞利桑那州鳳凰城建設第三座晶圓廠,在美投資總額將超過650億美元,約合人民幣4700億元。 台積電在美國第一座晶圓廠Fab 21一期工程計劃2025年上半年投產,引入5nm、4nm工藝。 Fab 21二期工程計劃2028年投產,上馬3nm、2nm工藝。 二者合計年產能可超過60萬塊晶圓,產品市場價值超過400億美元。 最新規劃的第三座晶圓廠計劃升級到2nm和更先進工藝,但投產時間未定,可能要到2029-2030年,也就是第二座工廠完工之後。 台積電的這些投資,將為美國創造6000個高科技製造工作崗位,以及超過2萬個建築工作機會,還會花費5000萬美元培訓當地工人。 不過,台積電在美投資建廠也面臨諸多問題,尤其是進度遠不如預期,一二期工廠最早規劃的投產時間分別是2024年和2026年。 美國《晶片與科學法案》的其他高科技投資還有:Intel 85億美元直接補貼和110億美元低息貸款、、Microchip 1.62億美元直接補貼、BAE 3500萬美元直接補貼。 三星也正擴大在美投資,預計可獲得60億美元補貼。 來源:快科技

台積電維持2024年營收指引不變:不會受到近日的地震影響

2024年4月3日,台灣花蓮縣海域發生了的7.3級地震,造成了多名人員傷亡及大量的設施損壞,同時也對所在地區的半導體企業生產產生了一定的影響,其中也包括了晶圓代工的龍頭企業台積電(TSMC)。 據Wccftech報導,災難發生後不久,台積電就出於安全考慮,決定暫停幾個地點的生產,檢查廠房和生產設備,確認是否有晶圓損壞,並仔細進行清潔,然後重新校準以確保生產符合標准。在沒有損壞的情況下,即可恢復生產,但工程師通常會提供樣品晶圓,以確保系統保持精確校準以製造晶片。 台積電也向外界發表了一份聲明,表示這次地震即便造成生產中斷也不會影響其2024年營收指引,全年實現營收增長20%的目標不會改變。事實上,台積電的股價也沒有受到太大的影響,得益於席捲全球的人工智慧(AI)熱潮,其今年的股價已經上漲了32%。 此前有報導稱,目前台積電產能利用率較高的5/4/3nm廠區未受太大影響,已在震後6至8小時完成停機檢查,並恢復到90%以上的產能;主要受影響的是尚未量產的2nm生產線,研發總部Fab12因水管破裂而導致部分機台進水,不過問題也不大;封裝廠方面,目前主要運作的廠區龍潭AP3和竹南AP6在事發後已立即進行人員疏散,停機檢查發現冰水主機存在問題,但廠內有後備設施,因此不影響運作,已安排陸續復工。 ...

台積電確定未來5年先進位程生產計劃,決定再增2nm工廠

此前有報導稱,台積電(TSMC)在台灣的北部(新竹寶山)、中部(台中中科)和南部(高雄楠梓)都有重大投資,興建2nm工廠。其中新竹科技園寶山用地二期會興建Fab20晶圓廠,共規劃了四座12英寸晶圓廠(P1-P4),是新一代N2工藝的啟動點。此外, 據DigiTimes報導,台積電已經確定了未來5年先進位程推進與擴產計劃:以2nm為主的寶山P1廠將於2024年下半年進入風險性試產,2025年第二季度實現小批量生產,月產能將從3000片逐步爬升到2萬片;寶山P3廠會在2025年5月開始運營,加入生產2nm晶片;寶山P3和P4廠則在2027年進入A14工藝;高雄楠梓園區將新增P4和P5廠,預計量產時間是2027年至2028年;台中中部科學工業園區的晶圓廠將進行擴建,新增2nm及以下製程節點的工廠,預計2027年完工。 台積電在2021年11月對高雄楠梓園區的Fab22晶圓廠規劃是生產7nm和28nm製程節點的晶片,2022年10月調整了規劃,優先切入28nm生產線,預計2024年量產,不過到了2023年4月則宣布改為先進位程。隨著計劃的變動,除了原有的P1-P3,還新增了P4和P5,同時也會為以後得A14工藝做好准備。 與台積電計劃改動的還有當地的用電和用水規劃,選用更先進的製程節點意味著水電需求劇增,多少會對當地供電供水造成壓力。近期當地主管部門確定了工業用電價格的調整方案,作為用電第一大戶的台積電自然會受到較大的影響,增幅也達到了最高級的25%,估計最後會選擇提高代工價格解決。據了解,台積電是當地唯一年用電量超過150億度的公司,2022年已突破210億度,占全省用電量的7.5%。 ...

Intel Lunar Lake超低功耗處理器現身:居然是台積電3nm

快科技3月28日消息,Intel將在今年晚些時候推出的超低功耗處理器Lunar Lake,現在第一次看到了它的驗證測試平台,供調試之用的。 和之前官方展示的裸片一樣,可以看到由三顆小晶片組成(其中一個應該是占位和保持形狀的),一側整合封裝了兩顆LPDDR5X內存,另外三個方向都有保護和固定用的金屬邊框。 之前展示的樣片 進一步消息確認,Lunar Lake包含MX Compute Die、MMX SoC Die兩個分離式模塊,其中前者的製造工藝並非Intel 20A,而是採用了台積電N3B,也就是3nm級別。 它包含4個Lion Cove架構的P核心、4個Skymont架構的E核心,也就是最多8核心8線程,也沒有AVX-512指令集。 相比之下,橫跨桌面和筆記本的Arrow Lake也是同樣的架構,但採用Intel 20A工藝,規格和性能都高得多。 GPU核顯部分,Lunar Lake升級到了第二代Battlemage架構的低功耗版本Xe2-LPG,最多8個Xe核心,但每個核心的流處理器或者說執行單元數量比第一代翻番,總計最多1024個。 根據內部測試,它的性能相比Meteor Lake最多可以再翻一倍,後者已經翻倍了。 Lunar Lake將在今年晚些時候發布,主打超低功耗領域,提供8W、30W兩個級別。 來源:快科技

2024年3nm工藝將占台積電收入20%以上,英特爾和AMD都會下單

今年1月,台積電(TSMC)公布了2023年第四季度業績,顯示3nm製程節點的產量大幅度攀升,收入占比已從上一個季度的6%提高至15%。毫無疑問,這都來自於蘋果這一個客戶,生產A17 Pro和M3系列等多款晶片。 據TomsHardware報導,隨著越來越多的客戶在台積電3nm製程節點下單,所占的收入比例也會不斷提高。最新的報告顯示,2024年3nm製程節點(包括N3B和N3E工藝)將占台積電收入的20%以上。除了蘋果外,英特爾和AMD都會下單,從而讓台積電繼續提升3nm製程節點的產能。 今年蘋果會帶來A18系列SoC,也許還有M3 Ultra,加上可能的M4晶片,這些都會採用3nm工藝製造;AMD今年會推出基於Zen 5架構的一系列產品,其中包含3nm工藝製造的晶片,預計下半年到來;英特爾預計在Lunar Lake MX上採用台積電3nm工藝製造的模塊,大概在今年第二季度進入大規模生產,這也是英特爾首次委託台積電為其主流消費平台提供晶片模塊,凸顯了台積電現階段對於英特爾的作用正在不斷增強,有趣的是,雙方在晶圓代工市場也是競爭對手。 2025年會有更多晶片設計公司選擇台積電的3nm製程節點,預計到2025年,將占其收入比重的30%以上。 ...

傳三星3nm GAA工藝良品率已提升兩倍,但仍然不如台積電

三星在2022年6月末宣布,其位於韓國的華城工廠開始生產3nm晶片,採用全新GAA(Gate-All-Around)架構電晶體技術。不過在量產以後,三星的3nm GAA工藝的良品率一直都不是那麼理想。 近日有網友透露,三星初期3nm工藝的良品率最初徘徊在10%至20%之間,經過多方努力後,最近提升了兩倍以上,但是仍然不能與競爭對手台積電(TSMC)的3nm工藝相比,良品率依然處於落後。 去年就曾傳出三星3nm工藝良品率提升的消息,指出可以提升至60%的水平,與70%的及格線始終有一段距離。事實證明,這種說法可能還是過於樂觀,畢竟包括英偉達在內的眾多晶片設計公司都前去了解,表達了意向,只是最後都沒有選擇下單,至少說明良品率還是很不穩定的。三星遲遲不能提升3nm工藝的良品率,也使得高通取消了第四代驍龍8的雙代工廠計劃,至少未來一年仍完全依賴台積電,新的代工策略被迫推遲至2025年。 此前三星公布了到2027年的製程技術路線圖,列出了2022年6月量產SF3E(3nm GAA,3GAE)以後的半導體工藝發展計劃,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原計劃,今年三星將帶來第二代3nm工藝技術,也就是SF3,使用「第二代多橋-通道場效應電晶體(MBCFET)」,已經在試產。 傳聞三星對第二代3nm工藝技術期望很高,功耗、性能和面積(PPA)指標甚至能與台積電N3P工藝相媲美,與之前的4nmFinFET工藝相比,能效和密度有著20%至30%的提升。 ...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

前台積電研發專家:中國半導體產業將自成體系 但難與全球生態競爭

3月22日,前台積電研發處處長楊光磊表示,中國面對美國對於半導體產業的出口管制必須自主發展,但是需多少時間才能完全自主還不清楚,可能需要幾十年才能自成體系。 近年來,在官方支持及內部需求的推動之下,中國半導體產業發展迅速。 即便是在美國聯合日本、荷蘭持續通過限制半導體設備出口來阻礙中國半導體產業發展進程,中國半導體產業的發展也仍未止步。 雖然目前台灣半導體製造業在前後段都占據了關鍵位置,美國、日本、歐洲在前段製造設備,以及後段封裝測試和材料領域有優勢,不過仍需要緊密合作才能滿足半導體市場的需求。 但是,中國大陸憑借官方支持以及自身龐大的市場內需的驅動之下,經過多年的發展,已經建立起了幾乎覆蓋整個半導體產業的供應鏈體系,雖然在不少環節依然比較薄弱,特別是在半導體設備方面。 楊光磊此前就曾表示,現在中國大陸半導體一大進步也表現在半導體設備上,過去沒有人願意做,現在已經有了一定規模,一定技術能力。並且預測未來幾年,中國大陸的成熟製程很有可能將依靠成本優勢橫掃世界。 在最新的演講當中,楊光磊進一步指出,中國大陸半導體產業在美國的限制之下必須自主發展,未來將會自成體系,但是可能需要幾十年才能完成。 即使能夠自成體系,但封閉系統下的發展,將會與外部世界合作的規模大不相同,且難以與外部的全球合作的生態系統競爭。不過,如果外部全球各國不能合作,就另當別論。 從整個半導體產業的發展看,楊光磊認為,台灣的成功也只是歸功於對的時間、對的地點和用對人,加上獨創的晶圓代工產業符合當地的文化。 未來,台積電憑借人工智慧發展機遇,加上全世界科技創新應用沒有改變晶圓廠基本需求,仍將占據領導地位。但是,將來的挑戰將是地緣政治壓力與風險使全球供應鏈重組,以及人才短缺與新世代文化差距等。 反觀日本半導體產業從1980年代全盛時期走下坡之後,呈落後狀況,但半導體材料地位依舊領先,還有半導體設備表現也強勁。現在,在政府全力支持下,日本半導體製造有望重回領先。但日本半導體製造布局,質量與成本競爭,也有人才短缺與數字轉型問題,都是接下來的挑戰。 在楊光磊看來,台灣與日本各有優勢,也須面對風險,雙方互補有機會更進一步。台灣半導體製造領先,日本在設備與材料領域舉足輕重,雙方合作則有加乘效應。 過去,聯電與富士通在20~90nm有合作,力積電現在則與日本SBI控股簽署了備忘錄合作28~55nm,台積電與SONY半導體、EDNSO合資的日本熊本晶圓廠JASM在12~28nm合作,都是很好的案例。 不過,雖然雙方合作有優勢,但也會存在競爭,比如積極發力尖端製程的Rapidus將會與台積電存在潛在競爭,加上兩地的文化差異,都是雙方半導體產業攜手須注意的問題。 對於各地半導體產業發展來說,人才可謂是非常的關鍵,除了需要想辦法吸引外部人才之外,自身人才的培養更是發展的核心動力。但是人才培育並非一蹴而就。 楊光磊此前就曾表示,人才的培養,政府只能扮演輔助角色,比起人的數量,應該更注重人才質量,對半導體人才教育也要有不同思維。 資料顯示,楊光磊此前曾在台積電服務20年時間,曾經參與台積電0.18、0.13 微米以及後來65納米等製程研發工作,2018年自台積電研發基礎工程處長的職位離職之後,於2019年7月出任中國中芯國際擔任獨立董事。直到2021年11月11日,楊光磊才辭任中芯國際獨立非執行董事及薪酬委員會成員職務。 來源:快科技

RTX 50升級台積電4NP工藝:但其實還是5nm

快科技3月20日消息,NVIDIA剛發布的B100/B200 AI GPU將製造工藝從4N升級到4NP,集成電晶體也從800億個增加到1040億個/2080億個,而同樣基於Blackwell架構的RTX 50系列遊戲GPU也會同步跨越。 最新曝料顯示,RTX 50系列的大核心GB202將使用和B100/B200同款的台積電4NP工藝,而不會使用台積電3nm。 但事實上,無論4N還是4NP,其實都不是表面上看起來的4nm工藝,而本質上是5nm,只不過都是NVIDIA特別定製的,確實比常規的5nm強一些。 至少,集成密度提升了30%,倒也配得上4N系列這樣的命名,只是有點繞。 另外,GB202的一級緩存也會大大增加,具體容量不詳,但肯定明顯多於GA102、AD102的區區128KB,這意味著單個SM陣列的吞吐量會有顯著提升。 GB202可能會延續384-bit顯存位寬,也不排除升級到512-bit,搭配新一代GDDR7顯存帶寬將迅速膨脹,SM陣列數量則有望從144個大幅增加到192個。 此外,RTX 50系列還會帶來PCIe 5.0、DisplayPort 2.1。 來源:快科技

台積電將投資160億美元,新建六座CoWoS封裝設施

台積電(TSMC)正經歷前所未有的人工智慧(AI)晶片的需求,市場對英偉達H100這樣的數據中心GPU的需求大幅度提高,為此不斷針對性地興建新設施,以滿足客戶的訂單需求。目前台積電似乎專注於CoWoS封裝領域,商討新的擴建計劃。 據相關媒體報導,台積電正在與當地主管部門談判,打算新建先進封裝廠,投資的金額大概為160億美元,預計會在下個月發布聲明。據了解,台積電原計劃新建四座先進封裝廠,但是現在很可能已改成了六座。有業內人士表示,台積電最快會在下個月開工,首批兩座先進封裝廠將在今年年底前全面投入運營。 最近台積電還在進行大規模的招聘活動,目標是約6000名新員工,重點是吸引「對半導體有高度熱情的人才」。據了解。這部分新員工大多數會前往新建或擴建中的工廠,以滿足產能擴張的用人需求。 此前有報導稱,台積電計劃今年月度CoWoS產能目標為每月35000片晶圓,2025年底再提高至每月44000片。不過按照現在的新計劃,隨著更多的先進封裝廠啟動,可能2024年底就會達到每月44000片這一數字。 台積電全力以赴應對CoWoS封裝產能的高需求,說明非常看好包括人工智慧和高性能計算在內的強勁晶片需求。目前英偉達已經發布了新一代Blackwell架構GPU,顯然台積電將為接下來的B200和GB200的量產做好准備。 ...

晶片製造速度起飛 台積電、新思科技首次採用NVIDIA計算光刻平台:最快加速60倍

快科技3月19日消息,GTC 2024大會上,NVIDA正式宣布,為加快下一代先進半導體晶片的製造速度並克服物理限制,TSMC (台積電)和Synopsys(新思科技)將在生產中率先使用NVIDIA計算光刻平台。 眾所周知,台積電是全球領先的晶圓代工廠,而新思科技則是晶片到系統設計解決方案的領導者。二者已經將 NVIDIA cuLitho加速計算光刻光平台,集成到其軟體、製造工藝和系統中,在加速晶片製造速度的同時,也加快了對未來最新一代NVIDIA Blackwell架構GPU的支持。 在現代晶片製造過程中,計算光刻是至關重要的一步,是半導體製造中最苛刻的工作負載,需要大規模的數據中心,而隨著時間的推移,矽小型化演進過程呈指數級放大了計算的需求。 如果使用CPU來計算,每年需要在計算光刻上消耗數百億個小時。比如一個典型的晶片掩模,就需要3000萬小時或更長時間的CPU計算時間。藉助加速計算,350個NVIDIA H100 GPU現在可以取代40,000 CPU 系統,從而縮短生產時間,同時降低成本、空間和功耗。 據悉,NVIDIA的計算光刻平台可以將半導體製造最密集的計算工作負載加速40-60倍。 NVIDIA還推出了新的生成式AI算法,該算法將進一步增強cuLitho的效率,與當前基 CPU計算的方法相比,極大地改進了半導體製造工藝。 “計算光刻是晶片製造的基石,”NVIDIA創始人兼CEO黃仁勛說。“我們與台積電和新思科技合作在cuLitho上工作,應用加速計算和生成式人工智慧,為半導體擴展開辟了新的領域。” 來源:快科技

台積電和新思科技啟用英偉達計算光刻平台進行生產,加速下一代先進晶片製造

英偉達宣布,台積電(TSMC)和新思科技(Synopsys)兩大半導體行業巨頭將使用計算光刻平台進行生產,加速製造並突破下一代先進半導體晶片的物理極限。目前新思科技已經將名為「cuLitho」的計算光刻庫與其軟體、製造工藝和系統集成,以加快晶片製造速度,並在未來支持最新一代Blackwell架構GPU。 英偉達創始人兼執行長黃仁勛表示,計算光刻是晶片製造的基石,英偉達與台積電和新思科技合作,利用cuLitho與新算法結合,應用加速計算和生成式人工智慧,為半導體開辟了新的領域,相比於當前基於CPU的方法,極大地改進了半導體製造工藝。 計算光刻是英偉達聯合台積電、阿斯麥和新思科技,歷時四年終於完成了計算光刻技術的一項重大突破,為下一代2nm工藝奠定了基礎。其主要通過軟體對整個光刻過程進行建模和仿真,使用光掩模文件的數學預處理來調整光學光刻中的像差和效果,以優化光源形狀和光罩形狀,減小光刻成像與晶片設計差距,從而使光刻效果達到預期狀態,從而提高良品率。不過隨著晶片的製造工藝向3nm及以下發展,每個光罩的負擔呈指數級增長,使得晶片製造的難度加大。 目前計算光刻的過程也成為了晶片設計和製造領域中最大的計算負擔,大型數據中心需要7x24連續運作,每年消耗數百億CPU小時,去創建用於光刻系統的光罩,每年需要的資本支出和能源消耗量也十分地驚人。英偉達表示,通過GPU而不是CPU運算,可以將計算光刻的效率提高40倍,大大減輕晶圓廠的負擔。 利用cuLitho計算光刻庫,可以將工作負載轉換成GPU並行處理,使得500個NVIDIA DGX H100就能完成40000個CPU組成的系統所完成的工作,從而縮短生產時間,同時降低成本、空間和功耗。 ...

台積電4月將面臨30%的電價上漲,或最終影響晶片價格

對於工業生產來說,穩定且低廉的工業用電供應至關重要。很長時間以來,台灣的工業用電在全球范圍內都處於較低的位置,一定程度上促進了當地的半導體產業發展。不過據TrendForce的報導,為了保證當地電力公司的財務狀況,計劃今年4月初開始上調當地的住宅和工業用電價格,而台積電(TSMC)作為用電大戶,自然會受到較大的影響。 根據目前的計劃,當地住宅和一般工業用電將分為三級,每級都有不同的價格調整。半導體是受本次調價影響最大的行業,對台積電這種需要24小時連續不間斷生產的企業來說,耗電量是非常驚人的。事實上,台積電按照劃分,屬於「超級耗電大戶」,意思是連續兩年增長且耗電量超過50億度的企業,那麼對應電費上漲的幅度最高可達30%。 當地主管部門表示,雖然台積電需要24小時連續生產,但依然保持著很高的能源效率,同時與其他國家和地區的同行業相比,漲價後的電價仍相對較低。當地行政部門還在爭取電費補貼,不過即便得到撥款,電價的漲幅仍然會超過20%。 隨著生產能源價格的上漲,台積電有可能選擇提高訂單的報價,那麼將會影響晶片的價格,最終可能轉嫁到消費者頭上。 ...

2023Q4排名前十晶圓代工廠營收環比增長7.9%,全年收入達1115.4億美元

根據TrendForce最新的統計數據,顯示受惠於智慧型手機拉動零部件備貨,加上蘋果新款設備帶動周邊零部件,推動2023年第四季度前十晶圓代工廠的營收增長,環比增長7.9%至304.9億美元,其中前五大晶圓代工廠的產值占比擴大至88.8%。 TrendForce表示,2023年受供應鏈庫存高企、全球經濟疲弱,以及市場復蘇緩慢影響,晶圓代工產業處於下行周期,前十晶圓代工廠營收按年減少約13.6%至1115.4億美元。預計2024年在人工智慧相關需求的帶動下,營收或按年增長12%,達1252.4億美元,而台積電將受惠於穩定的先進位程訂單,年增長率將大幅度優於產業平均值。 在2023年第四季度里,排名第一的是台積電(TSMC),主要來自智慧型手機、筆記本電腦、以及人工智慧(AI)和高性能(HPC)相關的製程,營收環比增長14%至196.6億美元,其中7nm及以下製程營收比重從第三季的59%上升至67%,3nm高價製程推動了台積電的市場占有率突破60%;排名第二的三星主要依賴的也是智慧型手機相關的訂單,但是以28nm及以上製程為主的周邊IC,營收環比減少1.9%至36.2億美元;第三的格羅方德(GlobalFoundries)得益於車用晶片,但是智能移動設備、通訊基礎設施和物聯網等方面的訂單下降,營收與上個季度幾乎齊平,約18.5億美元;排在第四的聯華電子(UMC)遇到客戶進入庫存修正期,營收環比減少4.1%至17.3億美元;中芯國際(SMIC)收到了智慧型手機、筆記本電腦等相關急單,營收環比增長3.6%至16.8億美元。 第六至第十名里,力積電(PSMC)從第十躍升至第八,而世界先進(VIS)則是從第八跌至第十,另外上個季度首次進入前十的英特爾代工業務(IFS)已跌出前十,原先第九名的位置被合肥晶合(Nexchip)所取代。 ...

台積電確認2023年從中國和日本獲得補貼:共新台幣475.45億元,增長5.74倍

近年來,各種因素推動各國積極地在本地建立半導體製造業,為此提供了多種補貼。眾所周知,代工第一大廠台積電(TSMC)目前正在全球多處建造新的晶圓廠 據Trendforce報導,台積電的報告顯示,2023年從日本和中國大陸獲得補貼,總共為新台幣475.45億元(約合人民幣108.88億元),相比2022年增長了5.74倍,創下了歷史新高。同時台積電還表示,仍未從美國獲得任何補貼。 日本和中國大陸對台積電的補貼主要用於地皮、建築物和設備采購,另外還有一些與建築施工及生產運營相關的成本和費用,不過台積電沒有說明補貼的具體金額。台積電從2022年4月起,在日本九州島的熊本縣開始建設新的生產基地,已經在上個月24日啟用,傳聞該項目總共會獲得4760億日元的補貼。台積電在南京也有晶圓廠,之前曾擴建28nm生產線,不過外界對具體的情況知之甚少。 此前有報導稱,台積電在美國亞利桑那州的Fab21晶圓廠項目總投資400億美元,兩期工程加起來的總產能為每月5萬片晶圓,不過自2021年4月開工以來就遇到了各種麻煩。其中一期工程初期投入120億美元,選擇了4/5nm工藝的生產線,原計劃2024年底投產,但已經推遲到2025年初。二期工程原計劃選擇3nm工藝的生產線,並於2026年投產,現在也推遲到2027年至2028年。 ...

英偉達賺麻了 穩坐檯積電第二大客戶位置

根據台積電最新發布的2023財年審計報告,全球知名的圖形處理單元(GPU)和人工智慧(AI)加速器製造商NVIDIA已經上升為台積電的第二大客戶。 報告顯示,NVIDIA向台積電的訂單金額高達77.3億美元,占據了其總收入的11%。 這一數據並不令人意外,鑒於NVIDIA在近幾年對AI GPU的高銷售量,這些產品不僅推動了公司業績的增長,也對台積電的產能產生了壓力,導致了供應瓶頸的出現。 盡管NVIDIA的增長速度迅猛,但蘋果依舊穩坐檯積電最大客戶的寶座,其在2023年為台積電貢獻了175.2億美元的營收,占比達到了25%。 此外,其他多家知名企業如聯發科、AMD、高通、博通、索尼及美滿電子等,也在本年度為台積電的財務增長做出了貢獻。 特別值得注意的是,NVIDIA和蘋果兩家公司均實現了兩位數的收入占比,顯示出它們在市場上的強大影響力。 台積電的先進工藝技術備受期待,NVIDIA與蘋果都在密切關注並准備採納其即將推出的新一代工藝。當前形勢表明,隨著這兩家公司對台積電產品的持續需求,台積電的營收有望繼續攀升。 在全球供應鏈中,NVIDIA正通過與三星晶圓代工和IFS的合作,努力實現供應來源的多樣化,然而從長期合作的角度來看,NVIDIA似乎更傾向於維持與台積電的合作關系。 這種策略表明,NVIDIA可能在台積電未來的發展中扮演更加重要的角色,如果雙方的合作關系以目前的速度持續發展,NVIDIA甚至有可能在未來超越蘋果,成為台積電的最大客戶。 來源:快科技

英偉達已是台積電第二大客戶,2023年占後者營收的11%

雖然台積電(TSMC)不會主動披露其客戶的業務細節,不過由於其股票憑證同時也在美國紐約證券交易所以TSM為代號掛牌上市,所以根據當地法律法規要求,如果有客戶占其收入的10%以上,必須予以披露。 去年被台積電稱為「客戶A」的蘋果,占據了台積電營收的25%,支付了175.2億美元。與此同時,另一位在文件里稱為「客戶B」的客戶,向台積電支付了77.3億美元,占據了台積電營收的11%。專門研究半導體公司的金融分析師Dan Nystedt表示,這位「客戶B」其實就是去年憑借人工智慧(AI)晶片紅遍業界的英偉達。 此外,去年台積電前十大客戶占據了台積電91%的收入,高於2022年的82%。除了蘋果和英偉達外,還有聯發科、高通、AMD、博通和Marvell等。過去多年裡,蘋果一直是台積電最大的客戶,考慮到蘋果對晶片的需求,未來幾年大機率仍是如此。雖然AMD、高通和聯發科近年來不斷增加訂單,但是很長一段時間里,沒有其他客戶能占據台積電營收的10%以上,從這點可以看出人工智慧熱潮對英偉達的晶片訂單量有多大的影響。 英偉達的A100和H100除了晶片由台積電製造外,也由台積電使用CoWoS封裝技術完成封裝,因此需要同時支付晶片製造和先進封裝服務的費用。隨著業界對人工智慧晶片的需求持續增加,2024年英偉達可能在台積電收入里會占據更大的份額。目前市場對EPYC伺服器處理器和Instinct MI300系列產品的需求也很高,AMD今年也有可能占據台積電收入的10%以上。 ...

晶片價格將被抬高 權威機構:晶片業面臨嚴重缺水隱患

快科技2月29日消息,如今從智慧型手機到電腦,各種電子設備都離不來半導體晶片,但是目前該行業卻面臨著缺水隱患,並且有可能抬高晶片價格。 其實半導體製造工廠每天都需要消耗大量的水,一是用來冷卻機器,二是確保晶圓片的製造過程中沒有摻雜灰塵或碎片。 而且水的使用量和晶片的復雜程度直接掛鉤,半導體越先進,工藝步驟越多,耗水量就越多。 然而權威金融機構標准普爾全球評級在近期的一份報告中寫道,隨著半導體加工技術的進步,半導體製造商將面臨水資源短缺的風險,就例如全球最大的晶片製造商台積電(TSMC)。 該報告指出,在產能擴張和先進工藝技術需求的推動下,半導體行業的用水量正以每年中高個位數的速度增長,目前全球半導體製造商的用水量已經與擁有750萬人口的香港地區相當。 與此同時,氣候變化正在增加極端天氣的頻率、乾旱的頻率和降水的波動性,限制了晶片製造商管理生產穩定性的能力。 隨著晶片製程向高級節點轉移,用水量也會呈現正比例增長,數據顯示,台積電在2015年升級到16納米工藝節點後,單位用水量增長了35%以上。 報告表示,鑒於台積電在先進晶片製造領域的主導地位,與水資源有關的潛在運營中斷可能會擾亂全球科技供應鏈,並推高晶片價格。 不過報告還指出,任何產量波動帶來的盈利影響在技術領先面前或許都是可控的。 來源:快科技

蘋果開始開發基於台積電2nm工藝的晶片,預計在2025年到來

蘋果去年在主題為「Scary Fast」的2023年第二場秋季新品發布會上,發布了M3、M3 Pr和M3 Max晶片。這是業界首批個人電腦使用的3nm晶片,可將更多電晶體封裝於更小的晶片空間中,實現速度和能效的雙重提升。 蘋果與台積電(TSMC)有著緊密的合作,而且已經很長時間了,這讓蘋果在晶片製造工藝的選擇上總是走在最前面。據Wccftech報導,通過蘋果員工在LinkedIn上的信息發現,蘋果已經開始開發基於台積電2nm工藝的新款晶片,預計會在2025年到來。 據業內人士推測,蘋果會率先將2nm晶片用於iPhone和Mac產品線,可能是A19和M4系列晶片的一部分。性能方面,預計會有10%到15%的提升,同時功耗會降低25%到30%。傳聞蘋果不僅僅局限於2nm晶片,還開始著手研究1.4nm晶片,預計2027年上市。 蘋果已經在與台積電接洽,希望保留1.4nm和1nm的產能。 此前有報導稱,台積電的2nm工藝進展順利,這是其首次引入Gate-all-around FETs(GAAFET)電晶體技術。負責首批2nm晶片生產的寶山P1廠將於2024年第四季開始試產,2025年第二季進入量產階段。與3nm工藝一樣,首個客戶將是蘋果,傳言台積電已為其准備了一條VVIP通道。 ...

台積電日本工廠正式啟用,並計劃2024年底開始量產

由台積電(TSMC)、索尼、電裝(DENSO)株式會社及豐田合作組建的日本先進半導體製造公司(JASM),於2022年4月在日本九州島的熊本縣開始建設新的生產基地。該項目也得到了日本政府的支持,並提供了補貼。 近日台積電宣布,已於2024年2月24日舉辦了日本工廠的啟用儀式,並計劃2024年底開始量產。台積電董事長劉德音表示,新晶圓廠將以最新的綠色製造措施來生產一流的特殊製程半導體技術,這將有助於未來幾年間釋放創新並支持日本的經濟。 此前台積電已確認,將在該地區建設第二座晶圓廠,計劃2024年底開工,2027年底開始運營。加上今年投產的第一座晶圓廠,合計月產能將超過10萬片12英寸晶圓,採用的半導體製造工藝包括40nm、22/28nm、12/16nm和6/7nm,面向汽車、工業、消費和高性能計算(HPC)相關領域的晶片。同時台積電還會在日本建立一個研發中心,並選擇與東京大學展開各種項目的合作。 據了解,日本熊本晶圓廠項目的總投資金額超過了200億美元,將直接創造超過3400個高科技專業工作崗位。兩座晶圓廠均由日本先進半導體製造公司持有,台積電、索尼、電裝株式會社及豐田分別持有86.5%、6.0%、5.5%和2.0%的股權。 ...

台積電3/5nm產能提前接近滿載,2024Q4將試產2nm生產線

上個月台積電(TSMC)公布了2023年第四季度業績,顯示3nm製程節點的產量大幅度攀升,收入占比已從上一個季度的6%提高至15%。這也讓台積電2023年的業績逆風維穩,從而對2024年的業績展望注入了強心劑。不僅調高了季度營收預期,全年營收預計會有超過20%的增長。 據DigiTimes報導,台積電的4/5nm工藝在2023年底的產能利用率就已接近90%,2024年第一季度更是達到了滿載,這是其穩住業績的關鍵,包括智慧型手機與人工智慧(AI)晶片在內的HPC客戶最主要的下單製程節點。同時3nm工藝的訂單量也優於預期,產能利用率從2023年底的75%拉升至現在的95%,2024年初月產能達到了10萬片晶圓。 過去一年裡,產能利用率一度低於50%的6/7nm工藝,已經逐步回到了75%以上。不少廠商鍾愛的28nm工藝,產能利用率也回到了80%以上的正常水平。在2024年第一季度里,台積電整個12英寸晶圓產能利用率都在80%以上,相比2023年第四季度的70%有了明顯的提升。即便是2023年出現崩盤的8英寸晶圓,產能利用率在2024年第一季度也已回到75%。 目前台積電2nm工藝進展順利,寶山P1廠將於2024年第四季開始試產,2025年第二季進入量產階段。與3nm工藝一樣,首個客戶將是蘋果,傳言台積電已為其准備了一條VVIP通道,另外包括英特爾、高通、英偉達、AMD和聯發科在內的眾多客戶也將逐步展開合作。 ...

NVIDIA AI GPU交貨時間11個月變3個月:好事 也是壞事

快科技2月17日消息,瑞銀分析師分享給投資者的備忘錄顯示,NVIDIA AI GPU的交貨速度已經大大加快,去年年底還需要等待8-11個月,如今只需3-4個月。 瑞銀指出,這種情況是一把雙刃劍。 一方面,這表明NVIDIA與台積電大大提高了產能,尤其是解決了CoWoS封裝的瓶頸問題,可以在短期內快速帶來更多收入。 另一方面,在清理積壓訂單的同時,NVIDIA需要尋找更多新的訂單,否則會導致收入受損。 還有一點,由於美國政府的出口管制,NVIDIA AI GPU無法大規模供應中國市場,也給其他訂單釋放了產能空間。 瑞銀還認為,交貨周期的縮短,也暗示NVIDIA AI GPU的短期增長已經接近峰值,後續增長可能會出現乏力。 即便如此,瑞銀還是將NVIDIA股價預期上調到了850美元——目前為725美元左右。 來源:快科技

台積電計劃將3nm月產能提高至10萬片晶圓,在日本追加建造第二座工廠

上個月台積電(TSMC)公布了2023年第四季度業績,顯示3nm製程節點的產量大幅度攀升,收入占比已從上一個季度的6%提高至15%。毫無疑問,這都來自於蘋果這一個客戶,生產A17 Pro和M3系列等多款晶片。 據Wccftech報導,台積電已經打算在2024年將3nm月產能提高至10萬片晶圓,同時專注於進一步提高良品率。除了蘋果以外,台積電還收到了來自高通、聯發科、英偉達和英特爾的大量訂單。暫時不清楚哪個客戶下的訂單最多,不過很有可能還是蘋果。 去年有消息稱,台積電的初代N3B工藝良品率不佳,大概在50%至55%左右,加上只有蘋果一個客戶,讓其僅M3系列晶片的流片成本就花了10億美元。今年台積電將切換至第二代N3E工藝,除了月產能從6萬片提高至10萬片晶圓,還希望能將良品率提升至80%,這相當不簡單。 此外,台積電近期還宣布繼續與索尼、電裝(DENSO)株式會社及豐田合作,在日本九州島的熊本縣建設第二座晶圓廠,計劃2024年底開工,2027年底開始運營。加上今年投產的第一座晶圓廠,合計月產能將達到10萬片晶圓,採用的半導體製造工藝包括40nm、22/28nm、12/16nm和6/7nm,面向汽車、工業、消費和高性能計算相關領域的晶片。 據了解,日本熊本晶圓廠項目的總投資金額超過了200億美元,由台積電與索尼、電裝(DENSO)株式會社及豐田控股的日本先進半導體製造公司(JASM)持有,各方分別持有86.5%、6.0%、5.5%和2.0%的股權。 ...

傳SK海力士與台積電組成AI晶片聯盟,將共同開發HBM4

HBM產品被認為是人工智慧(AI)計算的支柱之一,近兩年行業發展迅速。在人工智慧和高性能計算(HPC)的影響下,推動著存儲器廠商的收入增長。作為英偉達高帶寬存儲器合作夥伴,SK海力士目前在HBM市場的處於領導地位。此前有報導稱,SK海力士將在2026年大規模生產HBM4,用於下一代人工智慧晶片。 據Pulse News Korea報導,最近SK海力士制定了「One Team」戰略聯盟,正在逐漸成型,其中包括台積電(TSMC)的參與,雙方將合作開發HBM4晶片。據了解,台積電將負責部分生產流程,極有可能負責封裝和測試部分,以提升產品的兼容性。 HBM類產品前後經過了HBM(第一代)、HBM2(第二代)、HBM2E(第三代)、HBM3(第四代)、HBM3E(第五代)的開發,其中目前最為先進的HBM3E是HBM3的擴展(Extended)版本,而HBM4將是第六代產品。HBM4堆棧將改變自2015年以來1024位接口的設計,採用2048位接口,而位寬翻倍也是是HBM內存技術推出後最大的變化。由於2048位接口需要在集成電路上進行非常復雜的布線,可能需要台積電更為先進的封裝技術來驗證HBM4晶片。 有消息稱,HBM4晶片將用於Blackwell架構GPU第二次疊代升級中。 ...

中芯國際7/5nm被指比台積電貴最多50% 良率低得多

2月7日,中芯國際發布了,毛利率、利潤大幅下滑,官方也承認壓力很大。 集邦咨詢在分析報告中指出,中芯國際業績表現不佳的原因,除了官方說的半導體行業周期處於底部、全球市場需求疲軟、行業庫存較高且去化緩慢、同業競爭激烈、持續投入和設備折舊壓力很高等等,還有工藝方面的因素。 據稱,中芯國際正在從7nm工藝向5nm節點邁進,在上海專門建設了一條生產線。 不過業界消息人士估計,中芯國際7nm、5nm工藝的價格(或者說成本)相比於台積電要貴多達40-50%,但是良品率還不到1/3。 更有說法稱,中芯國際即將向交付第一批5nm晶片,並給予優惠價。 當然,以上說法都來自媒體報導,目前難以證實,但就算真的如此,也是一個偉大的突破,證明我們已經基本掌握了最先進的製程工藝,以後的路肯定能越走越寬。 另外,中芯國際聯席CEO趙海軍的一番話也引人遐想: 「2023年第三季度,智慧型手機等移動設備產業鏈更新換代,,開始企穩回升。」 來源:快科技

台積電不用新一代EUV光刻機 2023年的1nm再說

快科技2月8日消息,,但是台積電一直不為所動,可能要到1nm工藝時代才會跟進。 Intel計劃將高NA EUV光刻機用於Intel 18A後的製程節點,也就是超過1.8nm,時間大概在2026-2027年。 Intel此前公布的路線圖上,18A之後已經安排了三個新的製程節點,但尚未具體命名。 基辛格透露,預計命名為15A,將在德國工廠量產。 台積電對於高NA EUV光刻機引入計劃則一直守口如瓶,有多個消息來源稱台積電還在觀望評估,目前計劃要等到1nm工藝節點才會上馬,而時間要等到2030年左右了。 台積電目前正在沖刺2nm工藝,預計2025-2027年間量產,單晶片可集成超過1000億個電晶體,單個封裝可超5000億個。 然後是1.4nm、1nm,其中後者計劃2030年左右量產,將在單顆晶片內集成超過2000億個電晶體,單個封裝內則超過1萬億個,相比N2工藝翻一倍。 有趣的是,Intel也計劃在2030年做到單個封裝1萬億個電晶體,可謂針鋒相對。 來源:快科技

台積電或2030年才採用High-NA EUV光刻機,用於製造1nm晶片

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機。這是具有高數值孔徑(High-NA)和每小時生產超過200片晶圓的極紫外光(EUV)大批量生產系統,提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味著大概在2026年至2027年之間開始啟用新設備。事實上,台積電(TSMC)和三星都已表示會采購High-NA EUV光刻機,用於研發未來新的半導體工藝,不過沒有設定任何的時間表。 據DigiTimes報導,來自於晶圓廠工具製造商的消息證實,台積電要等到1nm製程節點才會使用High-NA EUV光刻機,可能是出於對成本的考慮。根據台積電之前公布的路線圖,1.4nm級A14工藝的推出時間大概在2027年至2028年之間,而1nm級A10工藝的開發預計會在2030年前完成。 此前ASML首次財務官Roger Dassen在接受采訪時表示,High-NA EUV光刻機可以避免製造上雙重或四重曝光帶來的復雜性,在邏輯和存儲晶片方面是最具成本效益的解決方案。顯然並不是所有的晶片製造商都像英特爾那樣急於將High-NA EUV光刻機用於晶片的量產,表面上可以降低總體成本,但畢竟現有的EUV光刻機也可以雙重成像技術實現相同的效果。 由於計劃會根據現有技術的表現以及其他市場因素而改變,所以台積電最後也可能會改變引入High-NA EUV光刻技術的時間點。 ...

傳性能暴漲超50% Intel未來處理器要用台積電2nm

快科技1月29日消息,Intel這幾年大力推進IDM 2.0戰略,一方面開放對外代工,一方面尋求外部代工,更加靈活,Meteor Lake就是個開始,未來還會更進一步。 據媒體報導,台積電的第一批2nm工藝晶片預計2025年投產,蘋果、Intel等巨頭都非常感興趣,其中蘋果A系列處理器必然會鎖定相當大一部分訂單。 Intel則計劃在未來的Nova Lake處理器上導入台積電2nm,當然不是完全使用這一種工藝,而是用它製造某些模塊,最大可能就是GPU核顯模塊。 Nova Lake發布之前,我們還會陸續看到Arrow Lake、Lunar Lake、Panther Lake,所以為時尚早,目測估計至少也要到2026年。 權威軟體HWiNFO已經開始初步支持Nova Lake,據說它會升級源自第四代架構Druid的低功耗版本。 更有說法稱,Nova Lake會擁有Intel歷史上最大幅度的CPU架構升級,甚至比當年的酷睿誕生還要生猛,性能可比Lunar Lake提升超過50%! 是不是真的,走著瞧吧。 來源:快科技

台積電今年CoWoS封裝產能將翻倍,AI伺服器帶動業績增長

過去的幾個月里,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產能變得緊張,不得不緊急擴大2.5D封裝產能,以滿足不斷增長的需求。 據DigiTimes報導,台積電全力以赴應對CoWoS封裝產能的高需求,計劃今年將產能翻倍。台積電非常看好包括人工智慧和高性能計算在內的強勁晶片需求,表示「幾乎所有AI業者都在與台積電合作」。有業內人士稱,來自英偉達、AMD等客戶的「超級急件」只增不減,其中英偉達占據了近一半的訂單,雖然H100的交貨期已經縮短,但是仍長達10個月,可見需求仍然處於高位。事實上,今年人工智慧伺服器的出貨速度明顯加快,也帶動了相關企業的營收增長。 根據推算,至2024年底,台積電CoWoS封裝產能至少達到每月32000片,2025年底再提高至每月44000片。去年末有報導稱,CoWoS封裝產能已提高至每月15000片左右,英偉達占用了其中40%的部分,而AMD則占據了8%,而到2024年上半年,台積電會將CoWoS封裝產能提高至每月20000片。 目前晶圓代工廠越來越注重封裝方面的投入,除了台積電外,三星和英特爾都加大了先進封裝技術和產能的投資,先進封裝已成為了左右未來半導體競爭勝負的關鍵。 ...

蘋果將成為台積電2nm首批客戶,預計會在iPhone 17系列首發

去年蘋果發布了多款3nm晶片,比如M3系列,也帶動了台積電(TSMC)3nm產能的拉升,獲得的巨大收益也很快便反映到台積電的季度財報上。為了進一步提高iPhone和Mac的計算和圖形性能,蘋果已開始了下一代晶片的研發工作。 據DigiTimes報導,蘋果將成為台積電2nm工藝的首批客戶,後者將會為iPhone、Mac、iPad和其他設備生產2nm晶片,預計2025年下半年量產。據了解,為了更好地做好相關的准備工作,台積電正在為蘋果准備了另一條VVIP通道。 台積電在2nm製程節點將首度使用Gate-all-around FETs(GAAFET)電晶體,同時製造過程仍依賴於極紫外(EUV)光刻技術。有業內人士預計,蘋果最先採用2nm工藝的晶片將用在iPhone 17系列上,隨後再擴展到M系列晶片。目前台積電還在進行1.4nm製程節點的研發工作,對應工藝的正式名稱為「A14」,預計會在2027年至2028年之間量產,蘋果很可能也是首批客戶。 去年有報導稱,台積電為了站穩先進位程的領先位置,內部已組建了名為「One Team」的團隊,沖刺2nm製程節點的開發、試產和量產等工作,包括推動同步試產及2025年的量產。團隊里除了研發人員,還有前期負責生產的晶圓廠工程師。隨後台積電官方確認成立「One Team」的團隊,不過沒有透露具體的在編人員數量和執行項目情況。 ...

台積電推出限定版脆條,引來搶購價格飆升20倍

台灣知名零售品牌乖乖的綠色包裝「奶油椰子口味」玉米脆條是當地的都市傳說之一,傳聞只要放在機器上,就能起到安撫的效果,機器運轉就會變得順利。最近台積電(TSMC)就與乖乖合作,推出了限定版「綠色金順乖乖」,並從1月18日開始在台積電各廠區的7-11便利店開賣。 據相關媒體報導,這次限定版「綠色金順乖乖」在各個銷售點數量有限,而且每人限購2包,每包新台幣48元,價格甚至低於一般市面上銷售的版本,吸引了許多台積電的員工搶購。往年台積電其實都有推出這種限定版零食,而2024年的綠色金順則以晶圓為主題。 限定版「綠色金順乖乖」開售後便掀起了搶購潮,也有人將這些限定版「綠色金順乖乖」放到網絡二手交易平台上拍賣轉手,價格從新台幣100元至500元不等,漲幅比台積電股價還高,有台積電員工笑稱「投資回報率驚人」。 雖然價格推得很高,但是實際交易記錄卻不多,更多屬於有價無市的狀態。一方面數量有限,另一方面主要還是零食屬於收藏價值較低的物品。相比之下,此前台積電與星巴克聯手推出的「電路板」TSMC 35周年馬克杯,在收藏方面的價值會更高一些。 ...

台積電為1nm工藝做准備:計劃建造一座尖端晶圓廠,總開發成本超320億美元

去年末,台積電(TSMC)在IEEE國際電子元件會議(IEDM 2023)上透露,其1.4nm製程節點的研發工作已全面展開,進展順利。這是台積電首次對外披露其1.4nm製程節點的開發情況,對應工藝的正式名稱為「A14」,至於工藝的具體規格和量產時間,暫時還不清楚。 台積電的2nm工藝計劃在明年末量產,1.4nm工藝的推出時間大概在2027年至2028年之間。不過據UDN的最新報導,台積電已經在為更遙遠的1nm工藝生產做規劃,將是首家准備1nm工藝的代工廠,這讓半導體競爭變得更加激烈、有趣。 此前台積電在IEDM 2023上分享了部分信息,1nm工藝大概要等到2030年,正式名稱為「A10」。隨著包括CoWoS、InFO和SoIC等封裝技術的進步,台積電預計2030年左右可以打造萬億級電晶體的晶片。台積電採用的方法與英特爾比較相似,問題在於如何實現這一目標,最近半導體行業一直被收益率和產能所困擾。 據稱,台積電的1nm工藝將是一個昂貴的計劃,預計總開發成本超過了320億美元。台積電也會為1nm工藝新建一座晶圓廠,地點在台灣南部的嘉義縣,總面積超過了100公頃,同時會按照60/40的比例劃分,以同時滿足半導體製造和封裝的需求。 雖然先進工藝的開發難度越來越大,投入越來越高,不過台積電並沒有停止前進的步伐,除了1nm工廠,預計還會建造多座2nm工廠。 ...