Home Tags 極紫外光刻

Tag: 極紫外光刻

29億元 Intel曬史上最貴開箱:全球首台高NA光刻機已裝機

快科技3月5日消息,Intel發布了一條特殊的開箱視頻,堪稱史上最貴:他們從ASML拿到的全球第一台高NA EUV光刻機,已經開始在美國俄勒岡州希爾斯伯勒附近的工廠內安裝了。 這台型號為Twinscan EXE:5000的光刻機著實是個龐然大物,運輸過程中動用了250個貨箱,總重約150噸,先用飛機從荷蘭運到俄勒岡州波特蘭,再用卡車分批次拉到工廠。 目前安裝的還只是核心組件,全部搞定需要250多名ASML、Intel的工程師,耗時約6個月,然後還得花時間調試。 這台光刻機主要作為研究之用,將會在Intel 18A節點上進行測試,但大規模量產得等到剛剛宣布的Intel 14A節點上,時間預計2025-2026年左右 。 該光刻機可以實現8nm的解析度,而現有低NA光刻機單次曝光只能做到13nm,同時電晶體密度幾乎可以增加3倍。 大家非常關心的價格上,ASML的說法是大約3.8億美元,但這只是起步價,更高配置自然更貴,Intel CEO帕特·基辛格透露在4億美元左右,也就是逼近29億元。 相比之下,低NA EUV光刻機約為1.83億美元。 除了Intel,三星、台積電、SK海力士也都訂購了高NA EUV光刻機,首批訂單有數十台。 來源:快科技

ASML研究超級NA光刻機 2036年沖擊0.2nm工藝

快科技2月17日消息,ASML已經向Intel交付第一台高NA EUV極紫外光刻機,將用於2nm工藝以下晶片的製造,台積電、三星未來也會陸續接收,可直達1nm工藝左右。 那麼之後呢?消息稱,ASML正在研究下一代Hyper NA(超級NA)光刻機,繼續延續摩爾定律。 ASML第一代Low NA EUV光刻機只有0.33 NA(孔徑數值),臨界尺寸(CD)為13.5nm,最小金屬間距為26nm,單次曝光下的內連接間距約為25-30nm,適合製造4/5nm工藝。 使用雙重曝光,可將內連接間距縮小到21-24nm,就能製造3nm工藝了,比如台積電N3B。 第二代EUV光刻機提高到了0.55 NA,臨界尺寸縮小到8nm,金屬間距最小約為16nm,可製造3-1nm,比如Intel就透露會在1.4nm節點上首次使用。 ASML CTO Martin van den Brink在接受采訪時確認,ASML正在調查開發Hyper NA技術,繼續推進各項光刻指標,其中NA數值將超過0.7,預計在2030年左右完成。 它表示,這種新型EUV光刻機適合製造邏輯處理器晶片,相比高NA雙重曝光成本更低,也可用來製造DRAM內存晶片。 ASML已披露的數據顯示,低NA光刻機的成本至少1.83億美元,高NA光刻機更是3.8億美元起步。 根據微電子研究中心(IMEC)的路線圖,2030年左右應該能推進到A7 0.7nm工藝,之後還有A5 0.5nm、A3 0.3nm、A2 0.2nm,但那得是2036年左右的事兒了。 來源:快科技

27億元 ASML公開展示高NA EUV光刻機:能造2nm以下工藝

近日,全球光刻機大廠ASML首次在其荷蘭總部向媒體公開展示了最新一代的High NA EUV光刻機。 除了已經率先獲得全球首台High NA EUV光刻機的英特爾之外,台積電和三星訂購High NA EUV預計最快2026年陸續到位,屆時High NA EUV將成為全球三大晶圓製造廠實現2nm以下先進位程大規模量產的必備“武器”。 ASML發言人Monique Mols在媒體參觀總部時表示,一套High NA EUV光刻系統的大小等同於一台雙層巴士,重量更高達150噸,相當於兩架空中客車A320客機,全套系統需要250個貨箱來裝運,裝機時間預計需要250名工程人員、歷時6個月才能安裝完成,不僅價格高昂也相當耗時。 根據此前的爆料顯示,High NA EUV的售價高達3.5億歐元一台,約合人民幣27億元。 Monique Mols解釋稱:“我們不斷進行工程設計和開發,還有大量工作要做來校準它並確保它適合製造系統。” “我們和我們的客戶也有一個陡峭的學習曲線。”預計ASML今年還將發貨“一些”(High NA EUV系統),並且在定製和安裝方面仍有工作要做。 ASML CEO Peter Wennink表示,AI需要大量運算能力和數據儲存,如果沒有ASML將無法實現,這也是公司業務一大驅動力。ASML上季收到的EUV設備訂單也創下了歷史新高。 英特爾在2023年12月已率先拿下了全球首台High NA EUV光刻機,並已經開始在英特爾俄勒岡州晶圓廠安裝。 此前外界預計該設備將會被英特爾用於其最先進的Intel 18A製程量產,不過,日前英特爾CEO基辛格(Pat...

台積電不用新一代EUV光刻機 2023年的1nm再說

快科技2月8日消息,,但是台積電一直不為所動,可能要到1nm工藝時代才會跟進。 Intel計劃將高NA EUV光刻機用於Intel 18A後的製程節點,也就是超過1.8nm,時間大概在2026-2027年。 Intel此前公布的路線圖上,18A之後已經安排了三個新的製程節點,但尚未具體命名。 基辛格透露,預計命名為15A,將在德國工廠量產。 台積電對於高NA EUV光刻機引入計劃則一直守口如瓶,有多個消息來源稱台積電還在觀望評估,目前計劃要等到1nm工藝節點才會上馬,而時間要等到2030年左右了。 台積電目前正在沖刺2nm工藝,預計2025-2027年間量產,單晶片可集成超過1000億個電晶體,單個封裝可超5000億個。 然後是1.4nm、1nm,其中後者計劃2030年左右量產,將在單顆晶片內集成超過2000億個電晶體,單個封裝內則超過1萬億個,相比N2工藝翻一倍。 有趣的是,Intel也計劃在2030年做到單個封裝1萬億個電晶體,可謂針鋒相對。 來源:快科技

ASML揭秘全球第一台高NA EUV光刻機:解析度直達8nm

經過十年的研發,ASML 於 2023 年 12 月正式向英特爾交付了首個High NA(高數值孔徑)EUV 光刻系統——TWINSCAN EXE:5000的首批模塊, 代表著尖端晶片製造向前邁出了重要一步。 近日,ASML發布了一篇題為《關於高數值孔徑 EUV 光刻你應該了解的 5 件事》的科普文章,對於High-NA光刻系統進行了進一步的介紹。 以下為芯智訊對該文章的翻譯: 目前晶片製造商依然是依靠電晶體微縮來推動微晶片技術的進步。雖然,這並不是改進晶片的唯一方法,例如,新穎的架構、先進封裝等也可以提高性能。但摩爾定律本質上成為普遍法則是有原因的 :50 多年來,電晶體“微縮”一直是計算能力指數級增長的幕後推手。 多年來,我們一直在將深紫外 (DUV) 光刻技術 推向極限。為了減小可光刻的最小特徵的尺寸(稱為臨界尺寸 (CD)),我們可以通過調整兩個主要的參數:光的波長 λ 和數值孔徑 NA。 然而,現在我們的 DUV...

ASML 2023年淨賺608億元:EUV光刻機第四季度賣了436億

快科技1月24日消息,荷蘭光刻機巨頭ASML(阿斯麥)今天發布了2023年第四季度及全年財報,業績好得令人羨慕。 2023年第四季度,ASML淨銷售額72億歐元(¥561.0億),高於預期,毛利率51.4%,淨利潤達20億歐元(¥155.8億)。 經歷了幾個“平淡”的季度後,四季度新增訂單金額多達92億歐元(¥716.8億),其中56億歐元(¥436.3億)來自EUV光刻機。 2023全年,ASML淨銷售額達到276億歐元(¥2150.6億),毛利率為51.3%,淨利潤為78億歐元(¥607.7億)。 其中,尚未交付的訂單總額為390億歐元(¥3038.6億)。 ASML 2023年第四季度售出全新光刻機105台、二手光刻機7台,全年售出全新光刻機421台,年增104台,售出二手光刻機28台,與上年持平。 不過,ASML並未披露EUV光刻機的具體銷售數字。 ASML預計,2024年淨銷售額將與2023年基本持平,毛利率略微降低。 毛利率降低的原因一是EUV光刻機業務繼續增長,但浸潤式光刻機業務會有下降,二是將投資擴大產能。 其中,2024年第一季度淨銷售額預計約為50-55億歐元(¥389.6-428.5億),毛利率約為48-49%。 2025年毛利率預計將提高到54-56%,主要得益於EUV光刻機業務的更快增長,以及2024年產能投資的回報。 來源:快科技

3+億美元能造1.8nm Intel收到全球第一台高NA EUV極紫外光刻機

快科技1月7日消息,Intel官方宣布,位於俄勒岡州的晶圓廠已經收到ASML發貨的全球第一台高NA(數值孔徑) EUV極紫外光刻機,型號為“Twinscan EXE:5000”,它將幫助Intel繼續推進摩爾定律。 Intel早在2018年就向ASML訂購了這種新一代光刻機,將用於計劃今年量產的Intel 18A製造工藝,也就是1.8nm級別。 Intel還第一個下單了改進型的Twinscan EXE:5200,將在2025-2026年用於更先進工藝。 根據此前報導,ASML將在2024年生產最多10台新一代高NA EUV光刻機,其中Intel就定了多達6台。 到了2027-2028年,ASML每年都能生產20台左右的高NA EUV光刻機。 新光刻機的價格估計至少3億美元,甚至可能達到或超過4億美元,也就是逼近人民幣30億元,而現有低NA EUV光刻機需要2億美元左右。 來源:快科技

近30億元 ASML明年生產10台高NA EUV光刻機:Intel獨吞6台

快科技12月21日消息,集邦咨詢的報告顯示,ASML阿斯麥將在2024年生產最多10台新一代高NA(數值孔徑) EUV極紫外光刻機,其中Intel就定了多達6台。 同時,三星星也在積極角逐新光刻機,台積電感覺壓力巨大。 NA數值孔徑是光刻機光學系統的重要指標,直接決定了光刻的實際解析度,以及最高能達到的工藝節點。 金屬間距縮小到30nm以下之後,也就是對應的工藝節點超越5nm,低NA光刻機的解析度就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。 因此,更高的NA成為必需。 ASML 9月份曾宣布,,型號“Twinscan EXE:5000”,從0.33做到0.55,光刻解析度縮小到8nm,可製造2nm工藝乃至更先進的晶片。 ASML沒有公布第一台高NA EUV光刻機的客戶,但業界普遍認為正是Intel。 Intel最初就計劃利用新光刻機投產Intel 18A工藝,但因為等不及,只能改用已有的0.33 NA NXE:3600D/3800E疊加雙重曝光。 ASML明年量產的高NA EUV光刻機,將是改進型的Twinscan EXE:5200,支持大規模量產。 未來,ASML將把年產量進一步提高到20台左右。 這種新光刻機的成本和價格沒有公開,猜測至少3億美元,甚至可能達到或超過4億美元,也就是逼近人民幣30億元。 目前的低NA EUV光刻機需要2億美元左右。 來源:快科技

繞過EUV光刻機技術 佳能開始銷售5nm晶片生產設備

10月14日消息,光刻機大廠佳能(Canon)公司近日通過新聞稿宣布,其已經開始銷售基於“納米印刷”(Nanoprinted lithography)技術的晶片生產設備 FPA-1200NZ2C。佳能表示,該設備採用不同於復雜光刻技術的方案,可以製造5nm晶片。 在半導體製程技術進入5nm節點之後,EUV光刻機已經成為了不可或缺的關鍵設備。但是,因為EUV光刻機造價高昂,每台價格超過1億美元,而且EUV光刻機僅荷蘭ASML一家產生能夠供應,且產能有限,這使得晶片的生產成本大幅升高。 為此,從2017 年開始,半導體設備廠佳能就與存儲晶片大廠鎧俠,以及光罩等半導體零組件製造商大日本印刷株式會社(DNP)合作,在日本三重縣四日市的鎧俠工廠內研發基於納米壓印(NIL) 的量產技術,可以不使用EUV光刻機,就能使製程技術推進到5nm。 佳能表示,這套生產設備的工作原理和行業領導者 ASML 的光刻機不同,其並不利用光學圖像投影的原理將集成電路的微觀結構轉移到矽晶圓上,而是更類似於印刷技術,直接通過壓印形成圖案。 相較於目前已商用化的EUV光刻技術,鎧俠在2021年就曾表示,NIL 技術可大幅減少耗能,並降低設備成本。原因在於NIL 技術的微影製程較為單純,耗電量可壓低至EUV 技術的10%,並讓設備投資降低至僅有EUV 設備的40%。目前,EUV光刻機只有荷蘭ASML一家能夠生產供應,其不但價格高,而且需要許多檢測設備的配合。 不過,雖然NIL 技術有許多的優點,但現階段在導入量產上仍有不少問題有待解決,其中包括更容易因空氣中的細微塵埃的影響而形成瑕疵。 對鎧俠來說,NAND 零組件因為採取3D 立體堆疊結構,更容易適應NIL技術製程。鎧俠當時就表示,當前已解決NIL 的基本技術問題,正在進行量產技術的推進工作,希望能較其他競爭對手率先引入到NAND 生產當中。而一旦鎧俠能成功率先引入NIL 技術並實現量產,有望彌補在設備投資競賽中的不利局面,又能符合減少碳排放的需求。 根據DNP 的說法,NIL 量產技術電路微縮程度可達5nm節點,而DNP 從2021 年春天開始,就已經在根據設備的規格值進行內部的模擬仿真當中。而對於這樣的技術進步,DNP 也透露,從半導體製造商對NIL 量產技術詢問度的增加,顯示不少廠商對NIL 技術寄予厚望。 但是,鎧俠在對NIL技術進行測試之後,遭到了潛在客戶提出的投訴,認為產品缺陷率較高,最後並未實際應用。 作為關鍵的設備提供商,佳能在推動NIL技術量產NAND的同時,也致力於將NIL...

能造EUV光刻機的歐洲:第一次有了自己的EUV生產線

EUV極紫外光刻機雖然出自歐洲的荷蘭,但是歐洲一直沒有自己的EUV生產線,直到現在。 當地時間9月29日,位於愛爾蘭萊克斯利普(Lexilip)附近的Intel Fab 34晶圓廠,正式開始使用EVU光刻工藝大規模量產生產Intel 4工藝的Meteor Lake處理器,也就是酷睿Ultra。 Intel CEO帕特·基辛格、技術開發總經理Ann Kelleher博士、首席全球運營官Keyvan Esfarjani將參加開工儀式。 這是美國本土俄勒岡州Fab D1之後,Intel第二座量產Intel 4 EUV工藝的晶圓廠,也是歐洲第一次有了自己的EUV生產線。 Intel使用了所謂的“Copy Exactly!”(精準復制),將美國工廠的生產線百分百復刻到愛爾蘭工廠,以提高良率、保證性能一致性。 Intel 4工藝也就是曾經的7nm,號稱相比Intel 7(10nm ESF)在同等功耗下頻率提升21.5%,同等頻率下功耗降低40%,電晶體密度增加1倍,這其中很大的功勞都來自EUV。 來源:快科技

ASML今年發貨第一台高NA EUV光刻機:成本逼近30億元

EUV光刻技術的推進相當困難,光刻機龍頭ASML也是舉步維艱,一點點改進。 快科技9月7日消息,ASML宣布,將在今年底發貨第一台支持高NA(數值孔徑)的EUV極紫外光刻機,型號“Twinscan EXE:5000”。 NA數值孔徑是光刻機光學系統的重要指標,直接決定了光刻的實際解析度,以及最高能達到的工藝節點。 ASML現有最先進的EUV光刻機是NEX:3400C、NEX:3400D,NA只有0.33,對應的解析度為13nm,可以生產金屬間距在38-33nm之間的晶片。 但是,金屬間距縮小到30nm以下之後,也就是對應的工藝節點超越5nm,這樣的解析度就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。 因此,更高的NA成為必需,新一代EXE:5000就能做到0.55 NA,光刻解析度也將縮小到8nm。 EXE:5000有點像是實驗平台,供晶片製造廠學習如何使用高NA EUV技術,而預計2025年發貨的下一代EXE:5200,才能支持大規模量產。 Intel最初計劃在其18A(1.8nm)工藝節點使用ASML的高NA EUV光刻機,2025年量產,但後來提前到了2024年下半年,等不及ASML的新機器。 於是,Intel就改用0.33 NA NXE:3600D/3800E,疊加雙重曝光來實現18A工藝,同時使用應用材料的Endura Sculpta的曝光成形系統來盡可能減少雙重曝光的使用。 盡管如此,Intel依然會是高NA EUV光刻機的第一家客戶,可能會在18A節點的後期引入它。 台積電、三星都計劃在2025年晚些時候投產2nm工藝,或許也會用上高NA EUV光刻機。 至於這種先進光刻機的價格,沒有官方數據,不同報告估計在單台成本就要3-4億美元,相當於人民幣22-29億元。 來源:快科技

無需EUV也能實現尖端製程 定向自組裝技術再度興起

可以說在過去幾十年,半導體產業在摩爾定律的推動下持續高速發展。但隨著電晶體縮放尺寸逐漸逼近物理極限,半導體工藝製程的推進也越來越困難,“摩爾定律”已死的說法被越來越多的人認同。 目前台積電、三星、英特爾等少數的尖端製程製造商,也只能依靠著越來越昂貴的EUV光刻機在艱難的推動半導體製程微縮,但是這依舊面臨著非常多的工藝上的挑戰以及成本難題。 對此,科技界也希望尋找一些新的技術路徑來改變目前的半導體製造困境,比如定向自組裝(DSA)技術。 DSA技術再度興起 嚴格來說,DSA技術並不是一項新技術。早在十年前,當半導體行業正在努力發展EUV光刻技術時,DSA技術幾乎成為了每一家決心跨過193nm(光源)光刻機限制的製造商的前沿技術路線。 這也是2012年SPIE高級光刻會議的熱門話題,應用材料公司的一位與會者稱DSA技術具有顛覆行業的潛力。 然而,與半導體行業的許多新技術一樣,DSA解決重大行業挑戰的潛力所帶來的希望和興奮很快就遇到了越來越難以克服的挑戰。缺陷控制、可擴展性和集成到現有工作流程的復雜性阻礙了進展。 隨後,DSA被大多數公司擱置,尤其是隨著EUV技術逐步被應用於生產。 但是DSA最初針對的問題,比如在當前光刻技術的限制下改進圖案的CD特徵值(光刻系統能夠放大的最小精度,CD=k1*λ/NA)過程中,並沒有消失。 此外,一些新的缺陷,如最先進的EUV節點中的隨機缺陷正在出現。Fractilia執行長Chris Mack表示,隨機性可以占大批量製造商(HVM)EUV圖案化錯誤預算總額的50%以上。 imec的研發團隊負責人Hyo Seon Suh表示:“對DSA的普遍批評是,由於結構的自組裝性質,很難控制缺陷。” “但EUV中的隨機缺陷也很難控制,這就是為什麼許多行業和我們的核心晶片製造商合作夥伴再次將DSA視為糾正隨機問題的可行選擇。” 英特爾發言人還表示,該公司目前正在開發幾種利用定向自組裝(DSA)的集成工藝流程。“我們在SPIE等會議上公開討論的一個工藝流程是使用DSA進行EUV抗蝕劑整流。 DSA可以從根本上改善EUV光刻固有的系統性和隨機性變化。使用這項技術,英特爾展示了一種DSA增強的EUV多圖案化方法,最終金屬間距為18nm,電氣性能穩健。” 其他人也表示同意。布魯爾科學公司首席技術官Rama Puligadda表示:“DSA正在回歸。”“但形式完全不同。它被用來輔助EUV,主要是用來校正線條。” 定向自組裝(DSA)通常被描述為共聚物材料自組裝以在半導體襯底上形成納米級解析度圖案的過程。 雖然這是一個准確的描述,但它並沒有提供太多細節來說明這一過程是如何完成的,以及為什麼這些共聚物材料會以這種方式表現。對這一過程進行一些簡化的解釋有助於理解DSA技術如何有利於CD解析度並為較低的製程工藝節點提供修復。 分離科學——從沙拉醬到半導體 DSA原理在大自然中隨處可見,比如我們的脂質膜到細胞結構,再到DNA能夠復制,並且一代代的遺傳下去,就是一種自然組裝技術。 天然的DSA形狀是納米級的,有規律和周期性,還很長,這就是我們在電晶體排列中所需要的,重要的是,它們能夠提供比傳統電晶體蝕刻更為精細的細節。 以下內容可能不太容易理解,所以這里先借用美國研究人員Karl Skjonnemand關於自組裝技術的TED演講視頻來便於大家理解: 正如油和醋由於其不相容的性質會分離成不同的層一樣,DSA中使用的某些聚合物表現相似,在納米尺度上。DSA通常將苯乙烯(S)單體結合形成聚苯乙烯(PS),並將甲基丙烯酸甲酯(MMA)單體組合形成聚甲基丙烯酸甲酯或PMMA。 DSA中通常使用的聚合物,如聚苯乙烯和PMMA,被設計成類似於油和醋的化學相分離。與後者不同,後者的分離是均勻的,在DSA中,這些聚合物的分離可以被仔細控制,從而產生精確的納米級結構。 △圖1:PS-b-PMMA嵌段共聚物的形態。資料來源:Semiconductor Engineering/Gregory Haley PS通常通過陰離子聚合來合成。這包括使用像鈉或鉀這樣的強鹼來形成碳負離子,碳負離子在鏈的生長中起著至關重要的作用。陰離子聚合提供的精確控制使其成為生產具有特定長度和分子量的聚合物的理想選擇。 另一方面,PMMA可以通過自由基聚合或原子轉移自由基聚合(ATRP)來合成。盡管與PS合成不同,但這兩種工藝都致力於製造具有特定特性的聚合物。這是重要的,因為DSA過程中得到的結構的形狀由這些聚合物的最終構型決定。 當使用諸如ATRP的技術合成時,PS和PMMA聚合物形成嵌段共聚物(BCP)PS-b-PMMA。PS和PMMA的嵌段在聚合物鏈內共價連接,但由於其化學差異,它們分離成不同的結構域(見圖1)。 PS也是疏水性嵌段,其中PMMA是親水性的,因此當它們形成鏈時,BCP的PS端連接,PMMA端連接,同時相互排斥。(圖2) △圖2:PS-b-PMMA BCP鏈中產生的不同結構域為半導體結構提供了基礎模板。資料來源:Semiconductor Engineering/Gregory Haley。 應該注意的是,嵌段之間的能量差越大,它們之間的偏析就越明顯,這允許更小的鏈長度和對可以形成的CD的更精細的控制。 然而,與半導體製造業的大多數事情一樣,沒有什麼是免費的。如果能量差太大,可能會導致過度的相分離,從而可能導致加工復雜性或製造過程中的缺陷。 平衡區塊之間的能量差、鏈長度和所需的域大小需要仔細優化和考慮具體應用。實現這種平衡是一項復雜的任務,需要對聚合物化學進行精確的控制和理解。 定義CD 使用DSA對矽進行圖案化有兩種有效的方法——石墨外延流和化學外延流。石墨外延流使用傳統光刻法對尺寸通常為最終CD尺寸的2倍至5倍的襯底進行構圖。用BCP填充溝槽並退火(>180°C)以誘導相分離。 溝槽的底部必須是中性的,以防止BCP粘附在基底上,同時一面牆與BCP濕結合。所得結構的CD由BCP鏈的長度定義(見圖3)。 △圖3:一個簡化的流動演示了石墨定向自組裝。資料來源:Semiconductor Engineering/Gregory Haley 另一方面,化學外延流依賴於不同的表面能來排列BCP層。在這個過程中,交聯PS的區域被施加到襯底上的中性區域旁邊。BCP中的PS與交聯的PS形成鍵,但與PMMA不形成鍵,並且中性區域不形成鍵。PS鍵為BCP流提供了錨,使相移的BCP在垂直維度上對齊(見圖4)。 △圖4:顯示化學外延DSA的簡化流程。資料來源:Semiconductor Engineering/Gregory Haley “例如,如果你使用193nm光刻工藝,它就無法形成這種間距圖案,”imec的研發團隊負責人Hyo Seon Suh說。“但我們可以製作一個更大的間距模式,並用DSA填充。這被稱為‘間距分割’。DSA使製造商可以實現只有EUV光刻機才能實現的尺寸,但無需購買EUV光刻機。” DSA的優點之一是其根據PS與PMMA的單體比例形成不同結構的靈活性。當每種聚合物的比例約為50%時,可以獲得如上圖所示的片狀圖案。 一個塊與另一個塊的比率顯著較低可以在較大塊的矩陣內形成較小塊的球體,而相同塊的比率較高可以形成圓柱形結構。這有助於提高孔的CD,甚至使孔倍增(見圖5)。 “定義結構不需要完美,”Hyo Seon Suh補充道。“我們只需要一些模式,我們可以引導局部聚合物的自組裝,從而形成干淨的模式。” △圖5:從較大的孔圖案中獲得較小尺寸孔圖案的過程。來源:imec 如上所述,這些是兩種一般類型的工藝流程的簡化示例,盡管每種工藝流程中都有多個子集,使用不同的化學品、材料和層;行動命令;以及實現期望結果的附加步驟。 石墨外延和化學外延都有其長處和短處。石墨沉澱利用成熟的工藝形成基底結構,通常需要較少的步驟才能獲得最終的CD。 然而,它要求溝槽的尺寸與鍵合對的BCP鏈長度完全匹配,任何線形圖案的不規則性都可能出現在BCP結構中。 化學外延需要更少的空間來實現類似的CD,並且在設計上提供了更多的靈活性,因為它不需要與BCP鏈長度精確匹配。 但它通常涉及更復雜和微妙的過程,包括使用特定的化學構圖材料,這可能會使控制變得更具挑戰性。化學外延也可能對表面能和聚合物-襯底相互作用的變化更敏感,如果不精確處理,可能會導致缺陷。 DSA的缺點是缺陷 將DSA從實驗室引入晶圓廠仍然主要受到缺陷控制的阻礙。盡管控制在過去三年中有了顯著改善,但缺陷仍然太高,無法滿足<1/cm2的行業標准。 DSA中常見的缺陷包括線間橋接、線塌陷、氣泡和線位錯。位錯是一個特別的挑戰,因為它們在蝕刻或圖案轉移過程中無法去除(見圖6)。 圖6:使用 DSA 的 EUV 圖案的 13nm/P28...

酷睿Ultra首發 Intel首款EUV工藝穩了:比肩友商3nm

快科技8月23日消息,下個月的創新大會上,Intel將會發布新一代酷睿Ultra處理器,代號Meteor Lake,首發Intel 4工藝,這是Intel第一代EUV光刻工藝,變化很大。 根據Intel之前所說,Intel 4工藝的其電晶體的每瓦性能將比現在用的Intel 7提高約20%,後續改進版的Intel 3會在Intel 4基礎上再次實現每瓦性能上實現約18%的提升。 酷睿Ultra不僅會升級Intel 4工藝及新的架構,還會首次使用多晶片整合封裝,CPU、核顯、輸入輸出等各自獨立,製造工藝也不盡相同。 Meteor Lake的CPU Tile模塊是Intel 4工藝生產的,IOE Tile以及SoC Tile模塊則是台積電6nm工藝生產的,Graphics Tile顯卡模塊則是台積電5nm工藝生產,還有個Base Tile則是Intel自家的22nm工藝生產。 在酷睿Ultra上,Intel做到了5個晶片合一,融合了4種不同的邏輯工藝。 與此同時,這代處理器也是Intel在工藝上追上甚至超越對手同級別工藝的開始,台積電當前最好的工藝也就是剛剛量產的3nm,首發於蘋果iPhone 15系列所用的A17處理器。 Intel 4工藝的高性能庫的電晶體密度可達1.6億電晶體/mm2,是目前Intel 7的2倍,高於台積電的5nm工藝的1.3億電晶體/mm2,接近台積電3nm的2.08億電晶體/mm2。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

EUV光刻機停機已久 台積電7nm沒人用了:開工率僅有一半

快科技8月22日消息,由於半導體市場需求不振,台積電今年已經兩次下調了全年營收指引,日前傳聞還會再次下調,而且8英寸成熟工藝代工價格也被指降價最多30%以吸引客戶。 台積電這兩年來最有優勢的還是先進工藝,尤其是7nm以下的工藝,7nm及5nm合計貢獻了近一半的營收,7nm工藝占比達到20-30%,是數一數二的營收主力。 此前由於訂單下滑,台積電的Fab 15B工廠不得不熱停機,EUV光刻機都閒置很久了,開工率僅有一半,最低的時候甚至只有40%的利用率。 好消息是最近由於AI市場需求爆發,台積電的7nm工藝接到了一些急單,停機已久的EUV光刻機重新啟用,7nm工藝利用率也在反彈,預計4季度可恢復到70-80%左右,雖然還不是滿載,但比之前已經大為改觀。 來源:快科技

EUV光刻機日耗電3萬度 台積電為電費上漲發愁:一年多花26億

快科技4月21日消息,台積電日前發布了Q1季度財報,營收167.2億美元,同比減少4.8%、環比減少16.1%,是3年來首次同比收入下滑,意味著牛市已經過去了。 更嚴重的是,台積電預計今年的營收會下滑1-6%,這將是2009年以來台積電首次營收下滑。 讓台積電發愁的不只是客戶需求減少,同時還有成本增加,從4月份開始還多了一個負擔,那就是電費漲價了,電力公司台電將漲價17%。 僅僅是這一點,就會對台積電的盈利造成很大影響,該公司預估Q2季度毛利率將會下滑,影響0.6個百分點,全年毛利率將影響0.5個百分點。 台積電去年營收758億美元,按照這個基數來算,今年僅電費就要額外多花3.8億美元,約合人民幣26億元。 當然,相比台積電50%+的毛利率,這點成本其實並不算夸張,只是台積電不想接受漲價罷了。 半導體製造行業是一個高耗電及高耗水的產業,一個重要原因就是光刻機,新一代的EUV光刻機更是夸張,功率達到了100萬瓦,是上一代的10倍左右,每天耗電3萬度,而台積電去年就差不多80台EUV光刻機了,耗電量可想而知。 據統計,台積電2021年耗電量總計191.9億度,占全島用電量的7.2%,未來隨著3nm、2nm工藝的量產,耗電量還會繼續提升,因為新一代EUV光刻機使用越來越多,一座工廠一年就可能上百億度電了,遠高於現在規模。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

10億一台的EUV光刻機也賣不動了 台積電40%訂單被砍:苦日子還有三年

快科技4月17日消息,隨著PC、手機等行業需求下滑,半導體行業自從2022年下半年開始牛熊周期轉換,台積電Q1季度業務業績罕見低於預期,現在ASML的EUV光刻機也賣不動了,台積電被曝砍單40%訂單。 EUV光刻機是7nm以下工藝必不可少的核心設備,全球只有荷蘭ASML公司能夠生產,售價高達10億,下一代EUV光刻機會更會漲價到25億以上,但是隨著這一輪熊市的調整,台積電、三星等公司搶購EUV的熱度已經下去了。 來自供應鏈的消息稱,ASML這幾年最大的客戶台積電要大砍2024年的EUV光刻機訂單,多達40%的光刻機會取消或者延期交付,不過台積電表示對市場傳聞不予評論。 台積電強調未來一年的晶圓廠建設以美日為主,本土的先進工藝擴產全面放緩,砍單效應會在今年下半年啟動,進入驗收階段的設備還會按照計劃進行,還沒有執行的訂單及半成品則會取消或者延期交付。 此前台積電表示下半年半導體市場會反彈,甚至台積電還在積極推動新一輪漲價,然而2023下半年的半導體市場恐怕依然不如預期,砍單效應會在2024年持續發生。 這一輪調整過程要持續兩三年,預計台積電等客戶重啟擴產計劃要等到2026年,ASML等半導體設備廠商才有可能恢復增長。 來源:快科技

美國新技術顛覆EUV光刻?想多了

早前,SPIE 高級光刻會議隆重舉行,應用材料公司在會議上宣布了他們的 Sculpta 圖案成形工具,這在媒體中引起狂呼我,有人甚至以為ASML的EUV終於迎來了對手。 但在本文作者Scotten Jones看來,這個設備遠非革命性的。上周,他還采訪了先進產品集團(Advanced Products Group )的董事總經理兼總經理 Steven Sherman,並討論了新工具。 介紹 曝光系統的解析度由瑞利准則給出: R =k1λ/NA 其中k 1是工藝相關因素,λ是曝光波長,NA是光學系統的數值孔徑。 半導體行業不斷向更小的尺寸發展,以實現更大的電晶體/位密度。 隨著 EUV 延遲多年,DUV 通過多種技術得到擴展,其中多次曝光相結合以創建比單次曝光更高解析度的圖案。 一旦 EUV 進入生產,多重圖案化在許多情況下被單一 EUV 曝光所取代。 根據瑞利標準,當前 0.33 NA EUV 系統的最終解析度應該約為 20...

一線生機 ASML高端DUV光刻機可以出口:還能支持7nm

對於ASML光刻機接下來怎麼出口的問題,現在官方終於給出了答案。 ASML在最新的聲明中指出,這些新的出口管制措施側重於先進的晶片製造技術,包括最先進的沉積設備和浸潤式光刻系統。 ASML強調,新的出口管制措施並不針對所有浸潤式光刻系統,而只涉及所謂“最先進”的浸潤式光刻系統。截至目前企業尚未收到有關“最先進”的確切定義的信息,公司將其解讀為在資本市場日會議上定義的“關鍵的浸潤式光刻系統”,即TWINSCANNXT:2000i及後續推出的浸潤式光刻系統。 所謂浸沒式光刻機,屬於193nm(光源)光刻機(分為乾式和浸沒式),可以被用於16nm至7nm先進位程晶片的製造,但是目前也有被業界廣泛應用在45nm及以下的成熟製程當中。 ASML公司官網信息顯示,該公司主流的DUV光刻機產品共有三款設備:TWINSCAN NXT:1980Di,TWINSCAN NXT:2000i和TWINSCAN NXT:2050i,其中2000i和2050i兩款是公司在聲明所指的產品。 ASML官網上關於這一台TWINSCAN NXT:1980Di的介紹,其中在解析度方面,寫到是大於等於38nm,而這是指一次曝光的解析度,事實上光刻機是可以進行多次曝光的。 理論上NXT:1980Di依然可以達到7nm,只是步驟更為復雜,成本更高,良率可能也會有損失,晶圓廠用這一台光刻機,大多是生產14nm及以上工藝的晶片,很少去生產14nm以下的工藝,因為良率低,成本高,沒什麼競爭力。 來源:快科技

顛覆EUV光刻?不讓ASML獨美

ASML 的 EUV 光刻工具很貴。每個 EUV 工具現在接近 1.7 億美元,但您還是將其中的許多工具用於領先的半導體工廠。未來,每個 High-NA EUV 工具的成本將超過 3.5 億美元。 此外,這些晶圓廠還需要許多 DUV 光刻工具。每個人都想要一種更具成本效益的方式來圖案化晶片,因為僅光刻就消耗了 3nm 工藝節點成本的約 35%。 想像一下,如果有辦法打破這種趨勢。 上周,世界第二大半導體設備製造商應用材料公司宣布他們有一個潛在的解決方案。 該解決方案就是 Centura Sculpta 工具,這是一種可以執行新工藝步驟“pattern shaping”的新工具。 根據 Applied Materials...
中芯國際已獲美國成熟工藝許可?真相來了

Intel用上美國自研晶片設備:EUV工藝省事一半 成本大降

Intel當前的12代、13代酷睿使用的是Intel 7工藝,今年底的14代酷睿Meteor Lake還會首發Intel 4工藝,這也是Intel首次大規模使用EUV光刻機。 EUV光刻機雖然先進,但是成本也高,而且高端工藝甚至需要雙重光刻,也就是用EUV光刻機曝光兩次,生產工序就需要重復兩次,比如蝕刻、清洗、沉積、去膠等等,無疑會增加成本。 美國半導體設備大廠應用材料現在推出Centura Sculpta曝光系統設備,將原本需要雙重曝光的EUV光刻工藝簡化到了單次曝光,大大降低了設計成本和復雜性,並且消除了雙重曝光所需的對准導致的良率問題。 根據應用材料的說法,採用該系統的晶片製造商如果運行一條每月加工10萬片晶圓的生產線,將節省多達2.5億美元的資本成本。 此外,每片晶圓的成本將減少約50美元,所需能源也將減少15千瓦時,還將減少15升水的需求,減少0.35千克的二氧化碳排放。 這個新設備已經有Intel投入使用了,三星及台積電用沒用還沒公布,但是Intel無疑會走在降低成本的前列。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

全球只有5家客戶 單價超過10億 ASML今年將出貨60台EUV光刻機

隨著半導體工藝進入到5nm節點以內,對EUV光刻機的需求也不斷增長,目前全球只有ASML一家公司能夠生產EUV光刻機,今年的出貨量還會進一步提升。 當然,EUV這樣的光刻機主要用於先進工藝,所以全球有需求也有能力購買EUV光刻機的晶片製造商也不多,ASML CEO日前在采訪中提到他們在全球有5家EUV光刻機客戶。 雖然沒有提到具體的名單,但是台積電、三星、Intel這三家是沒跑的,他們的邏輯工藝現在都是要用到EUV光刻機的。 還有2家應該是記憶體晶片廠商了,三星這部分已經在14nm DRAM記憶體上使用EUV光刻了,SK海力士也跟進了,美光之前的表態相對保守一些,但遲早也會上EUV光刻機來生產記憶體晶片。 雖然只有5家客戶,但是最近幾年對EUV光刻機的需求提升很快,ASML預計今年會出貨60台EUV光刻機,而DUV光刻機達到375台,數量依然遠高於EUV。 畢竟EUV光刻機售價昂貴,單價在1.5到2億美元,人民幣超過10億元。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

三星研發下一代EUV關鍵技術 良率大增

三星電子已開始開發先進的極端紫外線(EUV)pellicle,以縮小與製造競爭對手台積電的市場份額差距。 該公司的半導體研究所最近發布了一份招聘通知,以開發一種滿足92%的EUV透射率的pellicle。該公司在2021年10月舉行的三星製造論壇上表示:“我們已經開發了一種EUV透射率為82%的pellicle,並計劃在年底前將透射率提高到88%。” 目前,三星電子公司已經開發了一種透射率為88%的pellicle。 這意味著這家韓國科技巨頭在大約一年內實現了目標,並提出了一個新的發展路線圖,將傳輸率提高4個百分點。 三星電子還開始研究高數孔徑(NA)的pellicle,這被稱為下一代EUV工藝。 該公司在最新的招聘通知中提到了基於新材料的下一代pellicle的開發。 它宣布將與外部研究機構合作開發和評估由碳納米管和石墨烯製成的EUVpellicle。該公司還計劃挑選研究人員,負責設計該公司自行開發的納米石墨薄膜(NGF)的大規模生產設施。 一位分析師表示:“三星電子推動EUVpellicle的開發旨在迅速趕上排名第一的製造公司台積電。” EUVpellicle是曝光過程中使用光在半導體晶圓上列印電路形狀所必需的材料。它們用作遮蓋物,阻止異物附著在口罩上。 pellicle通過最大限度地減少過程中的缺陷和延長昂貴口罩的使用壽命來幫助降低成本。 然而,由於大多數材料吸收的EUV光的特點,商業化很困難。專家表示,盡管三星電子提高了pellicle技術水平,但它尚未將這種材料引入其晶圓代工/DRAM生產線,認為將這種材料應用於批量生產線還為時過早。 自2019年以來,三星電子的競爭對手台積電一直在其批量生產線上使用自己開發的EUVpellicle。這家台灣製造巨頭於2021年宣布,它將比2019年增加20倍的EUVpellicle生產能力。 韓國公司,有望顯著提升EUV良率 一家韓國小公司開發出一種材料,有望顯著提高荷蘭半導體設備公司 ASML 的極紫外 (EUV) 光刻設備的良率。 半導體和顯示材料開發商石墨烯實驗室於11月14日宣布,其已開發出用石墨烯製造小於5納米的EUV薄膜(pellicles)的技術,並已准備好量產新型薄膜。 “以前,薄膜是由矽製成的。但我們使用了石墨烯,”Graphene Lab 執行長 Kwon Yong-deok 說。 “對於使用 ASML 的 EUV 光刻設備的半導體公司來說,石墨烯薄膜將成為良率的助推器。” 薄膜是一種薄膜,可保護光掩模表面免受空氣中分子或污染物的影響。對於5納米或以下的超微製造工藝至關重要。它是一種需要定期更換的消耗品。 由於EUV設備的光源波長較短,因此薄膜需要較薄以增加透光率。此前,矽已被用於製造薄膜,但石墨烯是一種更好的材料,因為它比矽更薄、更透明。 EUV 薄膜必須能夠承受曝光過程中發生的 800 度或更高的高溫。由於其在高溫下的硬化特性,矽膠非常容易破裂。 全球薄膜市場預計到 2024 年將達到 1 萬億韓元。“三星電子、台積電和英特爾是石墨烯實驗室的潛在客戶,”執行長 Kwon 說。 來源:快科技

摩爾定律不死 Intel將沖擊1nm工藝:用上下一代EUV光刻機

作為摩爾定律的提出者,Intel也是最堅定的摩爾定律捍衛者,多次表示半導體工藝還會繼續提升下去,在現有4年掌握5代CPU工藝之後,Intel還啟動了未來兩代的CPU工藝研發,目標逼近1nm了。 Intel的5代CPU工藝分別是Intel 7、Intel 4、Intel 3、Intel 20A及Intel 18A,其中Intel 7在2021年的12代酷睿上首發了,Intel 4會在下半年的14代酷睿上首發,還會首次用上EUV光刻工藝。 Intel 3是Intel 4的改良版,Intel 20A及之後的18A則是重大升級,相當於友商的2nm、1.8nm節點,將在2024年上半年及下半年量產,2025年將重新奪回半導體工藝的領導地位。 再往後呢?Intel目前的路線圖上沒有提到新的工藝,不過最新消息稱Intel已經啟動了未來兩代工藝的定義及研發,但沒有明確的信息。 根據IMEC之前公布的晶片工藝路線圖來看,2nm工藝之後是14A,也就是1.4nm工藝,預計2026年問世,再往後就是A10工藝,也就是1nm,2028年問世。 Intel要研發的未來兩代工藝應該也是1.4nm、1nm級別的,具體的命名還要等官方確定,畢竟時間還早。 2nm以後的工藝還要升級裝備,當前的EUV光刻機屆時效率也不高了,ASML預計會在2026年推出High NA技術的下一代EUV光刻機EXE:5000系列,將NA指標從當前的0.33提升到0.55,進一步提升光刻解析度。 不過下代EUV光刻機的成本也會大漲,當前售價在1.5億美元左右,下代價格輕松超過4億美元。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

EUV光刻機研發難在哪?ASML:數百家頂級供應商 40年時間

在半導體設備中,光刻機是核心設備,決定了工藝的先進程度,EUV是當前最先進的光刻機,可以製造7nm以下的工藝,全球僅有荷蘭ASML公司能夠生產,單價將近10億元,下一代EUV甚至超過25億元。 研發EUV光刻機到底有多難?全球還有別的公司可以製造出來嗎?對於這樣的疑問,ASML公司日前在財報會議上談到了EUV的難點。 ASML表示,就ASML而言,它由數百個供應商組成,每個供應商在什麼方面都是世界級的。 只要提到通快、蔡司和VDSL的名字,他們的工作就是世界級的,這只是上百家供應商中的三個。 研發光刻機需要的不止是專利,它的訣竅是人,是大腦,ASML表示這些花了40年的時間。 物理學規律在全球都是一樣的,但是ASML做到這一步有著數百家公司積累的專業知識,他們作為系統集成商做出了光刻機。 來源:快科技
完全漲不動 記憶體價格繼續觸底

記憶體價格暴跌 三星仍打算擴大生產:新增10台EUV光刻機

由於記憶體價格暴跌,美光、SK海力士兩家記憶體廠商都已經大幅削減了投資,降低了產能,然而三星作為記憶體一哥不為所動,不僅不打算減產,甚至還在擴大投資,明年新增至少10台EUV光刻機,用於生產最新的12nm級記憶體晶片。 三星在韓國的記憶體工廠主要是位於平澤市的晶圓廠,其中P3晶圓廠目前的產能是每月2萬片晶圓,三星已經計劃擴大投資,增加記憶體生產設備,將產能提升到每月7萬片晶圓。 在這些設備中,最重要的就是EUV光刻機了,三星從14nm級別的記憶體晶片開始引入EUV光刻機,EUV光刻機可以減少多重曝光工藝,提供工藝精度,從而可以減少生產時間、降低成本,並提高性能。 當然,上EUV工藝的代價也不是沒有,EUV光刻機單價10億元以上,產量也不如傳統DUV光刻機,意味著初期成本會比較高。 按照三星的計劃,2023年的P3晶圓廠將新增至少10台EUV光刻機,主要用於量產此前發布的12nm級DRAM記憶體晶片。 12月21日消息,三星宣布全球首發12nm工藝的DDR5記憶體,核心容量16Gb,並率先完成AMD平台兼容認證。 三星是全球第一大DRAM記憶體晶片公司,技術上也是最領先的之一,2021年率先推出了14nm DRAM晶片,用上了EUV工藝,這次的12nm DRAM記憶體晶片則是進一步的技術升級版。 除了EUV工藝之外,12nm DRAM記憶體還使用了高K材料及改善電路特性的專用設計技術,該記憶體具有業界最高的存儲密度,而且晶圓生產效率提升了20%。 三星沒有提及太多的技術細節,速度上可達7.2Gbps,號稱1秒內可以處理2部30GB的UHD電影,功耗比以前的DRAM降低了23%。 來源:快科技

台積電3nm來戰 Intel 4工藝來了:首台EUV光刻機已開機

Intel目前的12代、13代酷睿使用的還是Intel 7工藝,明年的14代酷睿Meteor Lake將首發Intel 4工藝,這也是Intel首次使用EUV光刻的工藝,SRAM電晶體規模幾乎接近台積電3nm工藝。 日前Intel宣布了一個重要里程碑,首台EUV光刻機已經開機並產生13.5nm波長的光。 Intel的EUV工藝會首先在愛爾蘭的Fab34工廠生產,今年初首台EUV光刻機就到貨了,但是安裝過程非常費時費力,之前光是建造廠商及准備設施就用了18個月。 整個EUV光刻機有超過10萬零件組成,3000根電纜,4萬多顆螺絲,軟管長度超過1英里,安裝之後也需要復雜的調試過程。 本周,在Fab34工廠中,Intel正式啟動了EUV光刻機,25KW的雷射器在一系列復雜的操作之後成功激發了13.5nm波長的EUV光,這就是生產最先進工藝的基礎。 Intel表示,這一重要時刻為Intel 4工藝開辟了道路——Intel CEO基辛格之前多次表示2022年底准備好Intel 4工藝,看起來說的就是這個。 雖然EUV光刻機首次產生13.5nm波長的光很重要,但是這個距離真正的量產還有很長的距離,顯然跟之前爆料說的大規模量產還是不一樣的。 首發Intel 4的是14代酷睿Meteor Lake處理器,除了新工藝及新架構之外,它還會首次使用多晶片整合封裝,CPU、核顯、輸入輸出等各自獨立。 CPU Tile模塊是Intel 4工藝生產的,IOE Tile以及SoC Tile模塊則是台積電6nm工藝生產的,Graphics Tile顯卡模塊則是台積電5nm工藝生產,還有個Base Tile則是Intel自家的22nm工藝生產。 壞消息是14代酷睿可能只有移動版,桌面版處理器在2023年會是Raptor Lake-S Refresh,也就是13代酷睿馬甲。 來源:快科技

EUV電老虎 台積電1nm工廠耗電驚人:輕松百億度

台積電在先進工藝上的布局深遠,除了已經量產的5nm、4nm之外,明年重點量產3nm,2nm工藝則會在2025年量產,1nm工藝也在路上了,已經開始選址工作,2028年有望量產。 1nm晶片工廠的投資驚人,3nm、5nm工廠的建設資金大約是200億美元,1nm工藝的投資計劃高達320億美元,輕松超過2000億元,成本要比前面的工藝高多了。 不僅如此,1nm工廠的耗電量也會是個麻煩,相比3nm工廠年耗電量70億度的水平來說,1nm工廠不會少於80億度,甚至接近100億度。 這是什麼概念?預計到了2028年,這個1nm工廠的耗電量就相當於全台2.3%的用電量了,台積電所有工廠將占到全台15%以上,影響極大,對供電的要求很高。 為何耗電這麼大?一個重要原因就是1nm需要下代EUV光刻機,總功耗將達到2MW,也就是200萬瓦的水平。 如果一天24小時運轉,那麼下代光刻機每天就要消耗4.8萬度電,這個成本對晶片製造企業來說是非常高的,絕對的電老虎。 來源:快科技

EUV替代品?日本要靠新一代光刻機逆襲

經過八年的研發和有限銷售,佳能正准備為市場量產納米壓印光刻晶片製造設備。 佳能正在東京以北的栃木縣宇都宮建廠,估計耗資 500 億日元(約合 3.66 億美元)。 該工廠將用於製造 KrF 和 i-line 光刻機,還將用於增加納米壓印光刻 (NIL) 機的產量。計劃於 2025 年開始運營。 KrF 和 i-line 光刻是成熟的技術,但它們仍被廣泛用於定義眾多 IC 類型、MEMS 和平板顯示器。 NIL 也可用於這些寬松的幾何形狀,但可以在 10 納米及以下定義更精細的結構尺寸。 佳能董事長兼執行長御手洗富士夫在伴隨佳能 22 財年第...
5nm  A14X加持 新MacBook出貨規模大台積電 無壓力

打破日本壟斷 三星首次採用本土EUV光刻膠

據ETNews消息,三星已經將韓國本土公司研發的EUV光刻膠(EUV PR),用於一條半導體工藝線生產。 據悉,在日本限制出口EUV光刻膠後,韓國的東進世美肯公司就開始研發EUV光刻膠,並在去年通過了三星電子的可靠性認證,在不到一年的時間里,就將其應用到生產線。 不過,一條生產線僅是三星整個產品線中的一小部分,但是這是完全不依賴進口產品的生產線,因此具有特殊的意義。 由於需要考慮海外供應商之間的關系,目前尚不清楚三星是否會將東進世美肯EUV光刻膠用於更多的生產線。 據了解,光刻膠是半導體曝光工藝中的關鍵材料,它應用於晶片生產上。 當用半導體曝光設備照射光時,會發生化學反應並改變物理性質,通過用顯影劑沖洗掉PR來繪制微電路,只留下必要的部分。 來源:快科技

事關EUV光刻技術 華為公布新專利

近日,據國家智慧財產權局官網消息,華為技術有限公司於11月15日公布了一項於光刻技術相關的專利,專利申請號為202110524685X。 集成電路製造中,光刻覆蓋了微納圖形的轉移、加工和形成環節,決定著集成電路晶圓上電路的特徵尺寸和晶片內電晶體的數量,是集成電路製造的關鍵技術之一。 隨著半導體工藝向7nm及以下節點的推進,極紫外(extreme ultraviolet,EUV)光刻成為首選的光刻技術。 相關技術的EUV光刻機中採用強相干光源在進行光刻時,相干光經照明系統分割成的多個子光束具有固定的相位關系,當這些子光束投射在掩膜版上疊加時會形成固定的干涉圖樣,出現有明暗變化、光強不均勻的問題,因此,必須先進行去相干處理(或者採用避免相干影響),達到勻光效果,以保證光刻工藝的正常進行。 據披露,該專利申請提供一種反射鏡、光刻裝置及其控制方法,涉及光學領域,能夠解決相干光因形成固定的干涉圖樣而無法勻光的問題,在極紫外光的光刻裝置基礎上進行了優化,進而達到勻光的目的。 該光刻裝置包括相干光源1、反射鏡2(也可以稱為去相干鏡)、照明系統3。其中,反射鏡2可以進行旋轉;例如,可以在光刻裝置中設置旋轉裝置,反射鏡2能夠在旋轉裝置的帶動下發生旋轉,如下圖所示。 在該光刻裝置中,相干光源1發出的光線經旋轉的反射鏡2的反射後,通過照明系統3分割為多個子光束並投射至掩膜版4上,以進行光刻。 另外,在光刻裝置中,上述照明系統3作為重要組成部分,其主要作用是提供高均勻性照明(勻光)、控制曝光劑量和實現離軸照明等,以提高光刻解析度和增大焦深。述照明系統3的勻光功能可以是通過科勒照明結構實現。 該照明系統3包括視場復眼鏡31(field flyeye mirror,FFM)、光闌復眼鏡 32(diaphragm flyeye mirror,PFM)、中繼鏡組33; 其中,中繼鏡組33通常可以包括兩個或者兩個以上的中繼鏡。照明系統3通過視場復眼鏡31將來自相干光源 1 的光束分割成多個子光束,每個子光束再經光闌復眼鏡32進行照射方向和視場形狀的調整,並通過中繼鏡組33進行視場大小和 / 或形狀調整後,投射到掩膜版4的照明區域。 通過在相干光源1與照明系統3之間的光路上設置反射鏡2,在此情況下,相干光源1發出的光線經旋轉的反射鏡2反射後相位不斷發生變化。 這樣一來,在經反射鏡2反射後的光線通過照明系統3分割為多個子光束並投射至掩膜版4上時,形成在掩膜版4的照明區域的干涉圖樣不斷變化,從而使得照明視場在曝光時間內的累積光強均勻化,從而達到勻光的目的,進而也就解決了相關技術中因相干光形成固定的干涉圖樣而無法勻光的問題。 來源:快科技

「4nm、3nm」EUV工藝來了 Intel最先進晶圓廠准備就緒

隨著13代酷睿的上市,Intel的處理器工藝已經切換到了Intel 7節點上,這是Intel 4年掌握5代CPU工藝中的起點,接下來的還有重頭戲,不過生產基地會轉向海外,由位於歐洲愛爾蘭的Fab 34晶圓廠接任。 Intel CEO基辛格日前在網上透露他上周去了Fab 34工廠訪問,擴建後的工廠面積翻了一倍,具備更高的產能,而這里的團隊會交付Intel最新的工藝,也就是Intel 4、Intel 3工藝,等效友商的4nm、3nm節點。 Intel 4工藝是Intel首個EUV工藝,其電晶體的每瓦性能將提高約20%,Intel表示該工藝將在今年下半年准備就緒,即將量產。 首發Intel 4工藝的是14代酷睿Meteor Lake系列,2023年上市,最快的話就是上半年發布。 Intel 4之後是Intel 3工藝,會在Intel 4基礎上再次實現每瓦性能上實現約18%的提升,這一代工藝也是Intel未來提供代工的主力。 Intel 3工藝會由伺服器級的至強處理器首發,其中代號Sierra Forest的處理器會使用效能核,代號Granite Rapids的至強則使用性能核架構。 15代酷睿Arrow Lake的CPU模塊預計也會使用Intel 3工藝生產(也由可能是20A工藝),不過這一代變數也很大,很多不確定消息。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML新一代高NA EUV光刻機造價2500億:Intel一年賺的錢不夠買一台

荷蘭ASML(阿斯麥)正在全力研製劃時代的新光刻機high-NA EUV設備。 所謂high-NA即高數值孔徑,從當前的0.33提升到0.55,從而允許更緊密的電路圖案(2nm及以下)和更高的生產效率。 據elec報導,周二在韓國首爾,ASML CEO Peter Wennink透露,高NA EUV光刻機系統的單台造價將在300億到350億歐元之間,約合人民幣2195到2561億元。 這是什麼概念? ASML目前在售的雙工件台EUV光刻機不過數億美元,此前有調侃說相當於一架F22/35戰機。而下一代產品不僅身價上調百倍,別說戰機,這麼一大筆錢“造航母”都夠了。 在舉個簡單的例子,2021財年Intel的淨利潤總額是199億美元,換言之,Intel忙活一年掙的錢,買一台高NA EUV光刻機都不夠…… 不過,此前Intel表示自己是全球第一個下單的客戶,台積電也跟進了,三星和SK海力士則尚未表態。 按照ASML的說法,高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。該公司還預計其營收將在2025年翻一番。 來源:快科技

Intel首代EUV工藝來了 14代酷睿年底流片:5芯合1

在EUV光刻工藝上,Intel此前承認他們過去翻錯了,讓台積電、三星搶先了,畢竟Intel是最早研發EUV工藝的半導體公司之一,現在Intel可以追上來了,今年底就首次使用EUV的Intel 4工藝就會規模量產。 Intel 4就是之前的Intel 7nm工藝,也是Intel首次使用EUV光刻機,高性能庫的電晶體密度可達1.6億電晶體/mm2,是目前Intel 7的2倍,高於台積電的5nm工藝的1.3億電晶體/mm2,接近台積電3nm的2.08億電晶體/mm2。 Intel官方對Intel 4工藝的進度一直很樂觀,之前多次說過今年底投產,不過到底是什麼級別的生產?了解半導體玩家知道,風險試產、小規模生產及大規模量產是完全不同的。 最新消息顯示,Intel 4工藝現在已經到了大規模量產(high volume)階段,意味著Intel在商業生產上沒問題了。 首發Intel 4的是14代酷睿Meteor Lake處理器,Q4季度會有正式流片,產品則會在明年上市。 根據之前的消息,14代酷睿不僅會升級Intel 4工藝及新的架構,還會首次使用多晶片整合封裝,CPU、核顯、輸入輸出等各自獨立,製造工藝也不盡相同。 Meteor Lake的CPU Tile模塊是Intel 4工藝生產的,IOE Tile以及SoC Tile模塊則是台積電6nm工藝生產的,Graphics Tile顯卡模塊則是台積電5nm工藝生產,還有個Base Tile則是Intel自家的22nm工藝生產。 在14代酷睿上,Intel做到了5個晶片合一,融合了4種不同的邏輯工藝。 雙11紅包活動推薦: 來源:快科技

EUV光刻太貴了:替代技術正加快速度轉正

隨著晶片法案宣布將補貼美國半導體研發和製造500多億美元,人們對晶片製造技術的基本現狀產生了極大的興趣。 目前,三星5納米工藝(指定為5LPE)就是向全球市場提供先進晶片製造技術的其中之一,這代表了三星finFET技術取得了重大突破,未來勢必會更進一步,以更低的成本在晶片上放置更多的電晶體,同時提供更高的性能。 在晶片上刻出超細特徵所需的先進光刻技術是實現這些進步的主要推動者。 半導體光刻技術的起源與發展 光刻是半導體工業的核心技術。自1960年Fairchild Semiconductor的羅伯特·諾伊斯發明單片集成電路以來,光刻一直是主要的光刻技術。 光刻技術本質上是,掩膜版用於對光刻膠進行圖案化,從而實現圖案化沉積和蝕刻工藝。光刻工藝的最終解析度由所用光源的波長決定。 在短波長光刻源的開發方面取得的進展,使得以摩爾定律為特徵的電路密度不斷增加。在過去光刻所需光源是Mercury discharged lamps,例如365nm時期採用的i-Line,但最近KrF為248nm或ArF為193nm的準分子雷射器成為了首選光源。 採用浸潤式光刻技術,需要將透鏡和晶片浸沒在折射率比空氣高的水中,由此ArF雷射器獲得的最終解析度約為50nm。 過去二十年,193nm波長的光刻技術得到了發展。雖然使用F2準分子雷射的157nm光刻技術取得了一些突破,但人們主要關注的還是使用13.5nm軟X射線作為光源的極紫外(EUV)光刻技術。 荷蘭ASML在EUV技術的研發中發揮了主導作用,目前其EUV設備主要被包括英特爾、三星和台積電在內的先進CMOS代工廠用於生產。 實踐中的光刻方法 許多光刻方法被應用於製造單個晶片設計,TechInsights最近對三星5LPE工藝進行了詳細分析。圖2顯示了CPU邏輯區域中柵極和鰭片布局的平面圖TEM圖像。 圖2 三星 5LPE Gate和Fin Layout 自對准四重構圖(SAQP)幾乎可以肯定地用於對鰭片進行構圖,鰭片心軸的大致位置如圖所示,該心軸本應使用ArF 193 nm浸沒(ArF 193i)光刻法進行圖案化,通過在心軸上形成側壁間隔件,進而形成最終的鰭片圖案。 心軸的間距為108納米,然後將心軸移除,使用第一側壁間隔物圖案來創建第二組側壁間隔件,最終給出27nm的鰭片間距。 兩組側壁間隔物的大致位置和尺寸如圖3所示,這是一張橫截面TEM圖像,顯示了邏輯區域中三星5LPE工藝的27nm間距鰭片結構。 圖3 三星 5LPE Fin Cross Section 使用有源鰭片切割掩膜去除不需要的鰭片,並用淺溝槽隔離(STI)代替它們。圖2所示的金屬柵極很可能是使用自對准雙圖案化(SADP)技術形成的,其中心軸上的側壁間隔物直接用於圖案化多晶矽柵極,再用金屬柵極取代。 目前正在製造的先進半導體器件的尺寸明顯小於用ArF浸沒光刻法獲得的約50 nm最小半間距,這就需要開發越來越復雜的工藝技術。例如,根據最近TechInsights分析的結果,三星5 nm LPE工藝使用了多種先進的光刻方法,包括EUV. SAQP光刻技術可以產生非常精細的間距特徵,但僅限於創建單向定向的單軸結構,且線路末端需要特殊的切割掩膜,以防止相鄰線路之間短路。EUV光刻沒有這些限制,但成本更高。 圖4顯示了三星5LPE設備CPU邏輯區中金屬0布局的平面圖TEM顯微照片,觀察到的最小金屬間距約為44nm。此外,布局包括在兩個正交方向上定向的線,如果使用SADP或SAQP ArF 193i光刻方法,通常不可能產生這種情況。 圖4 三星5LP Metal 0...

晶片巨頭美光:成功繞過了EUV光刻技術

本周美光宣布,採用全球最先進1β(1-beta)製造工藝的DRAM記憶體晶片已經送樣給部分手機製造商、晶片平台合作夥伴進行驗證,並做好了量產准備。 1β工藝可將能效提高約15%,存儲密度提升35%以上,單顆裸片(Die)容量高達16Gb(2GB)。 一個值得關注的點是,美光稱,1β繞過了EUV(極紫外光刻)工具,而依然採用的是DUV(深紫外光刻)。 這意味著相較於三星、SK海力士,美光需要更復雜的設計方案。畢竟,DRAM的先進性很大程度上取決於每平方毫米晶圓面積上集成更多更快半導體的能力,各公司目前通過不斷縮小電路面積來進行競爭。 同時,美光的產品最終在成本上或許也會更具比較優勢。 據悉,美光已經在LPDDR5X移動記憶體上率先應用1β工藝,還使用了第二代HKMG(高K金屬柵極)工藝,最高速率8.5Gbps(等效於8500MHz)。 雙11紅包活動推薦: 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

Intel承認在EUV光刻上犯錯:當年太自信

最近幾年,台積電及三星在半導體工藝上超越了Intel,後者在14nm節點之前都是全球最先進的半導體公司,然而在10nm節點面臨各種困難,給了對手可乘之機。 Intel在這個過程中是如何被超越的?CEO基辛格日前接受了采訪,特別提到了Intel在EUV光刻工藝上的選擇錯誤。 在EUV技術研發上,Intel是全球重要推手,ASML研發EUV光刻機也得到了Intel的不少幫助,但是Intel在10nm節點沒有選擇EUV光刻,而是嘗試了新的SAQP四重曝光技術,它們的目標是不依賴EUV光刻機也能生產先進工藝。 基辛格表示,當初這個目標是很好的,然而SAQP曝光工藝非常復雜,成本高,隨著時間的推移,Intel站在了EUV錯誤的一邊,基辛格表示當時應該至少有一個並行的EUV戰略才對。 基辛格所說的這個事其實就是過去幾年中Intel在10nm工藝上多次跳票的關鍵,這兩年才算是搞定了10nm工藝的量產,現在改名為Intel 7工藝。 至於EUV工藝,Intel現在也重視起來了,跟ASML的合作很好,今年底量產的Intel 4工藝就是Intel首個EUV工藝,用於首發量產14代酷睿Meteor Lake,明年上市。 來源:快科技

售價25億的「電老虎」 下代EUV光刻機功耗飆到200萬瓦

隨著半導體工藝進入7nm以內,EUV光刻機是必不可少的關鍵設備,全球只有ASML公司能生產,現在NA 0.33孔徑的EUV光刻機售價高達1.5億美元,約合10億一台,不過下一代會更貴。 光刻機製造晶片的關鍵指標就是光刻解析度,其中鏡頭的NA數值孔徑越大越好,現在NA 0.33孔徑的EUV光刻機能夠量產3nm、2nm工藝,再往後就需要NA 0.55孔徑的下一代光刻機,也就是High NA EUV,製造2nm以下的工藝必需。 High NA EUV預計在明年開始出樣機給客戶,這一次Intel先下手為強,搶購了首批的High NA EUV光刻機,據說單價超過3.4億美元,約合25億了。 但High NA EUV的最終價格還不確定,未來可能達到4億美元,超過28億人民幣了。 High NA EUV光刻機不僅是本身昂貴,使用成本也越來越高,因為功耗還會繼續漲,ASML最近證實High NA EUV光刻機會額外消耗0.5WM功耗,加上目前的1.5MW功耗,下一代光刻機的總功耗將達到2MW,也就是200萬瓦的水平。 如果一天24小時運轉,那麼下代光刻機每天就要消耗4.8萬度電,這個成本對晶片製造企業來說是非常高的,絕對的電老虎。 來源:快科技

繞開EUV光刻機 美國實現0.7nm晶片:真有那麼神奇?

近日,一則美國製造出了0.7納米晶片的晶片在筆者的朋友圈傳播。與此同時傳播的新還有類似繞開EUV光刻機、美國打造全球解析度最高光刻系統。這究竟是個什麼新聞?從現階段看EUV光刻機會是怎樣的一個未來? 讓我們來還原以下這個新聞本身。 美國企業推出了0.7nm晶片? 這其實是來自美國Zyvex Labs的一個報導。 在9月21日, Zyvex Labs宣布,推出世界上最高解析度的光刻系統 — ZyvexLitho1。該工具使用量子物理技術來實現原子精度圖案化和亞納米(768 皮米——Si (100) 2 x 1 二聚體行的寬度)解析度。這一進步使量子計算機能夠為真正安全的通信提供牢不可破的加密;還更快的藥物發現和更准確的天氣預報。 報導進一步指出,ZyvexLitho1 是一款基於掃描隧道顯微鏡 (STM:Scanning Tunneling Microscopy) 儀器,Zyvex Labs 自 2007 年以來一直在改進該儀器。ZyvexLitho1 包含許多商業掃描隧道顯微鏡所不具備的自動化特性和功能。 “構建可擴展的量子計算機存在許多挑戰。我們堅信,要實現量子計算的全部潛力,需要高精度製造,”Michelle Simmons 教授說。“我們對...

2個矽原子寬度 美國造出0.7nm晶片:EUV光刻機都做不到

當ASML的EUV光刻機還在為製造2nm、1nm晶片發愁的時候,美國公司卻在另一個先進光刻方向上取得了突破,Zyvex使用電子束光刻技術製造了768皮米,也就是0.7nm的晶片,這種晶片可用於量子計算機。 Zyvex推出的光刻系統名為ZyvexLitho1,基於STM掃描隧道顯微鏡,使用的是EBL電子束光刻方式,製造出了0.7nm線寬的晶片,這個精度是遠高於EUV光刻系統的,相當於2個矽原子的寬度,是當前製造精度最高的光刻系統。 這個光刻機製造出來的晶片主要是用於量子計算機,可以製造出高精度的固態量子器件,以及納米器件及材料,對量子計算機來說精度非常重要。 ZyvexLitho1不僅是精度最高的電子束光刻機,而且還是可以商用的,Zyvex公司已經可以接受其他人的訂單,機器可以在6個月內出貨。 EBL電子束光刻機的精度可以輕松超過EUV光刻機,然而這種技術的缺點也很明顯,那就是產量很低,無法大規模製造晶片,只適合製作那些小批量的高精度晶片或者器件,指望它們取代EUV光刻機也不現實。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML拚命研製的新款高NA EUV光刻機:或是最後一代

ASML一直處於高度戒備狀態。 去年,該公司兩次提高了生產目標:它希望到 2025 年出貨約 600 台 DUV 和 90 台 EUV 光刻機,而去年分別為不到 200 台和 35 台。 ASML很典型的一周是,數百人在 Veldhoven 開始他們的新工作。由於持續的晶片短缺,交付問題每天都在發生。更不用說像今年年初柏林工廠發生火災這樣的挫折,導致 EUV 晶圓夾具的生產暫時癱瘓。 盡管如此,Martin van den Brink(編者註:ASML首席技術官)還是很滿足和放鬆的。EUV 光刻機的生產力還沒有達到客戶的預期,但幾年來,EUV 光刻機已經成為世界上最先進晶片的生產過程中不可或缺的一部分。 “經過比計劃多十年的努力,這讓我鬆了一口氣。”Martin...