Home Tags 阿斯麥

Tag: 阿斯麥

ASML核心業務或留在荷蘭,將考慮在當地加大投資

此前有報導稱,ASML(阿斯麥)有意搬離荷蘭,向其他地方擴張或遷移。很重要一個原因是荷蘭收緊勞工移民規定,由於ASML在荷蘭的員工里,有40%是非荷蘭籍,這將嚴重影響ASML招聘新員工及未來的發展。荷蘭政府隨後啟動了「貝多芬(Beethoven)」的特別計劃,承諾投入25億歐元用於加強ASML總部所在的埃因霍溫地區的基礎設施、教育和住房,以支持其發展。 據DigiTimes報導,近日ASML表態,考慮加大總部所在區域的投資,以進一步擴張。有消息稱,ASML已經與埃因霍溫當地政府簽署了一份意向書,這很可能結束外界對ASML將部分業務遷移海外的猜測。荷蘭政府承諾投入的25億歐元,將創造2萬個新的工作崗位。 ASML財務長Roger Dassen表示,鑒於需要在未來十年內將其業務翻一番以滿足市場飆升的需求,ASML存在許多不確定性,而尋找技術移民和建設基礎設施以支持其發展的需要仍然是首要任務。ASML執行長Peter Wennik稱,要有足夠多的合格人才,ASML才能繼續發展,雖然更傾向於留在荷蘭,但如果需求得不到滿足,會在東歐、亞洲或者美國得到這些人才,那麼ASML就會去那裡。 今年夏天有關支持ASML擴張的計劃將在埃因霍溫市議會進行投票,這很可能最終決定ASML的命運,到底留在荷蘭,還是向外遷移。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

美國計劃落空:光刻機巨頭ASML不走了 仍然留在荷蘭

快科技4月23日消息,荷蘭對光刻機巨頭阿斯麥離開的消息感到十分擔憂,但現在情況有了明顯的好轉。 根據媒體報導,荷蘭晶片設備製造商阿斯麥公司已與荷蘭埃因霍溫市簽署了一份意向書,計劃在該市北部機場附近進行擴建,預計將能夠容納多達20000名新員工。 此前,荷蘭政府宣布了一項價值25億歐元的計劃,將在未來幾年內用於改善阿斯麥總部所在地的住房、教育、交通和電網等基礎設施。 除了基礎設施改善外,荷蘭政府還將採取行動來減輕企業的稅收負擔。 此前,阿斯麥曾向荷蘭政府表達了向其他地方擴張或遷移的意向,其中法國和美國都是備選地點。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

無視美國 繼續提供維修服務 ASML:中國客戶買買買光刻機

快科技4月22日消息,今年一季度,ASML有近一半收入(19億歐元、占比49%)來自中國大陸市場。 如果拋開最先進的極紫外(EUV)光刻機,中國大陸的收入占比達到91%。 作為對比,去年一季度,這兩個比例分別只有8%和17%。美國政府限製得越凶,中國公司反而買得更多了。 ASML預計,今年中國地區的銷售額將有 10% 到 15% 受到出口管制措施的影響。 盡管如此,CFO羅傑·達森(Roger Dassen)在財報電話會上說,今年剩下的日子裡中國客戶需求將持續強勁。 阿斯麥財務長達森稱,中國客戶約占公司積壓訂單的20%。“中國的需求很強勁。其產能增加是合理的,並且符合本世紀後半段的全球需求。” “目前,沒有什麼能阻止我們為中國客戶已經購買的產品提供服務”,公司CEO溫寧克說道。 來源:快科技

ASML稱High-NA EUV光刻機已印刷首批圖案,並向新客戶交付第二台同類設備

近日ASML(阿斯麥)表示,本月將向第二位客戶交付High-NA EUV光刻機,安裝工作也即將開始。不過ASML並沒有透露,具體交付給哪一家公司。其提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 ASML還宣布,已經在其位於荷蘭費爾德霍芬的High-NA實驗室首次使用High-NA EUV光刻機印刷出10nm線寬(dense line)圖案。這是迄今為止列印出的最精細的線條,創下了EUV光刻設備新的世界紀錄。這是世界上目前僅有的兩套High-NA EUV光刻系統之一,另外一套剛剛在英特爾的Fab D1X晶圓廠完成組裝工作,正在進行校準步驟。 該演示驗證了ASML合作夥伴蔡司的創新型High-NA EUV光學設計,在光學系統、傳感器和平台完成粗調校準後,列印出突破性的圖案。這是以全規格運行的第一步,接下來將致力於讓系統達到最佳性能表現,並最終在實際生產環境中復制這一成果。 雖然ASML的客戶並不著急使用High-NA EUV光刻機進行大規模生產,但都准備在未來某個時間點引入新的製造工藝,這也是ASML對High-NA EUV光刻機的銷售前景感到樂觀的原因之一。此前有報導稱,一台High-NA EUV光刻機的價格大概為3.8億美元,是EUV(約1.83億美元)的兩倍多,ASML目前收到的訂單數量在10至20台之間。 ...

英特爾宣布完成業界首台High-NA EUV光刻機組裝工作,目前正在進行校準步驟

英特爾晶圓代工(Intel Foundry)宣布,在先進半導體製造領域取得了一個關鍵的里程碑,已在美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地完成了業界首台High-NA EUV光刻機組裝工作。目前英特爾正在Fab D1X進行校準步驟,為未來工藝路線圖的生產做好准備。 去年末,ASML向英特爾交付了首台High-NA EUV光刻機,型號為TWINSCAN EXE:5000的系統。英特爾將其作為試驗機,可以更好地了解High-NA EUV設備的使用,獲得寶貴的經驗。High-NA EUV光刻機將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 英特爾院士兼英特爾晶圓代工邏輯技術開發光刻、硬體和解決方案總監Mark Phillips表示,隨著High-NA EUV的加入,英特爾將擁有業界最全面的光刻工具箱,使其能夠推動Intel 18A以外的未來製程工藝進入本十年(2021年至2030年)的後半段。 新工具能夠通過改變將列印圖像投射到矽晶圓上的光學設計,顯著提高下一代處理器的解析度和功能縮放。當與英特爾晶圓代工的其他工藝技術功相結合時,High-NA EUV有望列印現有EUV工具1.7倍一維密度的功能。這意味著在二維特徵縮放上,可實現1.9倍的密度提升。 High-NA EUV光刻技術將在先進晶片開發和下一代處理器的生產中發揮關鍵作用,英特爾打算在Intel 14A工藝引入,最快會在2026年到來。在此之前,英特爾將繼續優化先進的工藝技術,以進一步提高性能和成本效益。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

美國施壓不准向中國提供光刻機維修服務 ASML再回應:沒理由停止

快科技4月19日消息,光刻機製造商ASML的CEO公開表示,沒有理由不為中國客戶提供售後服務。 荷蘭晶片設備製造商阿斯麥(ASML)周三發布的財報顯示,第一季度淨預訂量從去年第四季度的92億歐元降至36億歐元。 阿斯麥財務長達森稱,中國客戶約占公司積壓訂單的20%。“中國的需求很強勁。其產能增加是合理的,並且符合本世紀後半段的全球需求。” “目前,沒有什麼能阻止我們為中國客戶已經購買的產品提供服務”,溫寧克稱。 媒體表示,阿斯麥是歐洲市值最高的科技公司,而它現在已經成為美國政府用以遏制中國晶片產業發展的靶子。 近日,美國高官要求荷蘭政府下令,禁止阿斯麥為在現有銷售禁令實施前中國采購的受限制晶片製造設備提供服務和維修。 來源:快科技

美國禁止ASML維修售華光刻機 ASML官方回應:攔不住

快科技4月18日消息,根據最新公布的財報,ASML今年一季度淨銷售額52.90億歐元(約合人民幣406.5億元),環比下滑26.9%;毛利率51.0%,環比減少0.4個百分點;淨利潤12.24億歐元(約合人民幣94.1億元), 當季,ASML售出光刻機共70台,其中全新66台、二手4台,最新的EUV極紫外光刻機賣了11台,同時新增訂單金額為36億歐元,其中6.56億歐元訂單來自EUV業務。 按照地區劃分,中國大陸地區貢獻了49%的銷量,環比增加10個百分點;EMEA(歐洲中東和非洲)占20%,韓國占19%,台灣降至6%。 受出口管制政策影響,ASML的先進光刻機無法銷售到中國大陸市場,官方預計對2024年中國大陸地區銷售額的影響約為10-15%,並強調相關規則暫時沒有進一步變化。 不過,美國仍在進一步施壓。 美國商務部負責工業和安全的副部長Alan Estevez此前曾提出,將推動禁止ASML為已經銷售給中國大陸的光刻機設備提供維修售後服務,尤其是涉及到關鍵零部件。 ASML總裁兼首CEO Peter Wennink對此明確回應稱:“我認為根據我們已經有的結論,沒有什麼可以阻止我們為中國現有的已安裝系統提供服務。” 他進一步表示,這些是兩國政府之間需要討論的事情,因為涉及到所謂的國家安全利益,ASML會提供相關意見,並確保被充分考慮。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

光刻機巨頭阿斯麥業績爆雷 股價大跌:網友支招7nm以下EUV給中國廠商供貨

快科技4月18日消息,光刻機巨頭阿斯麥(ASML)業績爆雷,這也導致公司股價大幅下挫。 阿斯麥發布的2024年第一季度財報。財報數據顯示,公司今年一季度營收端大幅下滑,實現營收52.9億歐元,同比下降21.6%,不及市場預期:公司一季度淨利潤為12.24億歐元,同比下滑37.4%。 另外,第一季度該公司的新增訂單額為36.1億歐元(其中包括6.56億歐元的EUV訂單),遠低於市場預期的51億歐元。 相較於2023年第四季度創紀錄的91.9億歐元的訂單額,今年一季度的訂單下滑了近三分之二。 總部位於荷蘭的阿斯麥占據了高達80%的高端光刻機市場份額。全球晶片廠商最先進位程晶片所需的EUV光刻設備,全部都來自阿斯麥。 對於這樣糟糕的成績,有不少人士也是“支招”,7nm或者更先進的光刻機,只管給中國廠商供應,保證生意火爆到不行。 來源:快科技

ASML公布2024Q1財報:中國市場貢獻近半營收

近日,ASML(阿斯麥)公布了2024年第一季度財報。ASML執行長Peter Wennick表示,2024年是一個過渡的年份,將繼續在產能提升和技術方面進行投資,為周期的轉變做好准備。 2024年第一季度財報顯示,該季度中淨銷售額為53億歐元(約合人民幣409.04億元),毛利率為51%,淨利潤為12億歐元(約合人民幣92.61億元)。在該季度里,淨預定量為36億歐元(約合人民幣277.84億元),其中6.56億歐元(約合人民幣38.7億元)自於EUV系統。 從ASML展示的材料來看,中國大陸是ASML最大的客戶,貢獻了49%的淨銷售額,比起2023年第四季度的39%表現更為強勁,已連續三個季度成為ASML最大市場。從1988年首台ASML設備運到中國大陸,到2023年底,ASML在中國大陸的光刻機加上量測的機台裝機量接近1400台。 與此同時,ASML也更新了股票回購計劃和股息提案。在2023年總股息為每股普通股6.10歐元,與2022年相比增長了5.2%。考慮到2023年和2024年支付了三次每股普通股1.45歐元的中期股息,向年度股東大會提議的最終股息為每股普通股1.75歐元。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約4億歐元的股票。 ASML預計2024年第一季度的淨銷售額在57億歐元到62億歐元之間,毛利率在50%到51%之間,研發成本約為10.7億歐元,SG&A成本約為2.95億歐元。Peter Wennick稱,ASML對全年的展望保持不變,預計下半年的表現會強於上半年,與行業從低迷中復蘇的趨勢一致。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

美國施壓:不准向中國廠商提供光刻機維修服務 ASML回應服務正常

快科技4月18日消息,ASML公開表示,將繼續為中國大陸廠商提供設備維修服務。 此前有消息稱,美國計劃向荷蘭施壓,試圖阻止ASML在中國提供部分設備的維修服務。 在業績電話會上,ASML執行長溫寧克回應稱,“目前沒有什麼可以阻止我們為在中國大陸安裝的設備提供服務。” 光刻機是製造晶片的關鍵設備,中國大陸是ASML的第二大市場。 因此,這種限制可能對中國的晶圓製造商產生重大影響,特別是對於維護產線穩定運行所必需的光刻機核心部件的供應和維護。 之前外界擔心,受限的光刻機主要是NXT:2000i及更先進的機型,而其他未受限的光刻機型銷售和維護則不受影響。 來源:快科技

ASML 2024年一季度賣出70台光刻機:淨利潤12億歐元

快科技4月17日消息,ASML(阿斯麥)今天公布了2024年第一季度財報,當季實現淨銷售額52.90億歐元(約合人民幣406.5億元),環比下滑26.9%;毛利率51.0%,環比減少0.4個百分點;淨利潤12.24億歐元(約合人民幣94.1億元),環比下滑40.2%。 淨銷售額處於預測營收區間的中間值,毛利率高於預期,主要原因是浸潤式光刻機、EUV業務收入更高, 當季售出光刻機共70台,其中全新66台、二手4台,同時新增訂單金額為36億歐元,其中6.56億歐元訂單來自EUV業務。 過去半年累計新增訂單金額近130億歐元。 ASML預計第二季度淨銷售額57-62億歐元,毛利率50-51%,2024年全年收入將與2023年基本持平,今年被視為調整年。 ASML預計2025年將是強勁增長的一年,淨銷售額可達300-400億歐元,大部分銷售的低數值孔徑EUV光刻機型號將是NXE:3800,高數值孔徑型號EXE:5200也會推出,從而大幅拉高平均售價和毛利率,可達54-56%。 產能方面,ASML未來將擴大到年產90台低數值孔徑EUV、600台DUV,中期達到年產20台高數值孔徑EUV。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

消息稱美國對ASML施壓:不准向中國廠商提供光刻機工具維修服務

4月5日消息,據媒體報導,美國正在對荷蘭頂級晶片生產設備製造商ASML施加壓力,不允許其向中國廠商提供光刻機工具維修服務。 ASML是全球最大的光刻機製造商之一,也是唯一的極紫外(EUV)光刻機供應商。 美國對ASML的施壓始於2019年,意圖限制接收荷蘭設備的中國晶片製造商名單,並阻止ASML在中國提供維修服務。 光刻機是製造晶片的關鍵設備,中國大陸是ASML的第二大市場。因此,這種限制可能對中國的晶圓製造商產生重大影響,特別是對於維護產線穩定運行至關重要的光刻機核心部件的供應和維護。 目前,受限的光刻機主要是NXT:2000i及更先進的機型,而其他未受限的光刻機型銷售和維護則不受影響。 然而,如果相關設備零部件涉及美國技術來源,則可能受到美國的出口限制。 這一舉措突顯了全球晶片產業鏈中的地緣政治風險,也凸顯了技術制裁對於關鍵技術行業的影響。 來源:快科技

荷蘭啟動「Beethoven」計劃,加大ASML總部周邊基礎設施、教育和住房投入

此前有報導稱,ASML(阿斯麥)有意搬離荷蘭,向其他地方擴張或遷移。很重要一個原因是荷蘭新組建的內閣可能收緊勞工移民規定,這將嚴重影響ASML招聘新員工及未來的發展。目前ASML在荷蘭大約有2.3萬名員工,其中40%是非荷蘭籍,海外留學生和雇員是其主要勞動力來源之一。 據相關媒體報導,荷蘭政府已啟動「貝多芬(Beethoven)」的特別計劃,承諾投入25億歐元用於加強ASML總部所在的埃因霍溫地區的基礎設施、教育和住房,以支持公司的發展並解決其對荷蘭商業環境所擔憂的一系列問題,其中還涉及包括外籍人士的稅收優惠、勞工移民規定和政治穩定等問題。 荷蘭經濟事務大臣Micky Adriaansens已向媒體證實了此事,在一份聲明中,荷蘭政府提到「在採取這些措施時,內閣假定ASML將繼續投資,並保留其在荷蘭的法定、財政和實際總部」。 據了解,資金的具體用途包括:用於升級交通網絡,包括道路、公共汽車和火車;支持技術教育和職業培訓,滿足ASML在用工方面的需求;適應該地區增長計劃的住房項目。ASML對政府的聲明表示歡迎,強調了有利的商業條件的重要性,表示期待與政府間的合作。 ASML媒體關系主管Monique Mols在向媒體發送的聲明中表示:「今天提出的計劃,如果得到議會的支持,ASML將大力支持這些提出的商業條件,並將繼續與荷蘭政府合作,最終確定我們關於擴張的決定。」 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

光刻機龍頭ASML要走 荷蘭出手送大招:預留13億歐元挽留

快科技3月29日消息,據媒體報導稱,荷蘭政府正積極行動,力求確保光刻機技術領軍者ASML繼續紮根本土。 根據荷蘭媒體披露的文件草案,該計劃包括恢復對技術移民的稅收減免,並為阿斯麥總部所在地——埃因霍溫地區的發展預留10億至13億歐元資金。 ASML執行長溫寧克(Peter Wennink)此前曾警告稱,該公司高度依賴熟練的外國勞工,並擔心荷蘭的商業環境正在惡化。 今年1月,溫寧克表示:“限制勞動力遷移的後果是巨大的,我們需要這些人進行創新。如果我們在這里找不到這些人,我們就去其他可以發展的地方。” 總部位於荷蘭的ASML是歐洲第三大市值公司,市值接近3650億歐元。 去年,ASML實現了創紀錄的276億歐元營收,較2022年增長了30%,淨利潤激增至78億歐元,增加了約22億歐元。 來源:快科技

ASML已交付第三代EUV,可用於製造2nm晶片

最近ASML(阿斯麥)交付了第三代極紫外(EUV)光刻工具,新設備型號為Twinscan NXE:3800E,配備了0.33數值孔徑透鏡。相比於之前的Twinscan NXE:3600D,性能有了進一步的提高,可以支持未來幾年3nm及2nm晶片的製造。 在ASML看來,Twinscan NXE:3800E代表了Low-NA EUV光刻技術在性能(每小時處理的晶圓數量)和精度方面的又一次飛躍。新的光刻設備可實現每小時處理195片晶圓的處理速度,相比Twinscan NXE:3600D的160片大概提升了22%,將來有可能提高至220片。此外,新工具還提供了小於1.1nm的晶圓對准精度。 即便用於4/5nm晶片的生產,Twinscan NXE:3800E也能提升效率,讓製造商可以提高晶片生產的經濟性,實現更為高效且更具成本效益的晶片生產。更為重要的一點,是Twinscan NXE:3800E對於製造2nm晶片和後續需要雙重曝光的製造技術有更好的效果,精度的提升會讓3nm以下的製程節點受益。 Twinscan NXE:3800E光刻機的價格並不便宜,機器的復雜性和功能是以巨大的成本為代價,每台大概在1.8億美元。不過比起新一代High-NA EUV光刻機的報價,顯然還是要低很多。此前有報導稱,業界首款採用High-NA EUV光刻技術的TWINSCAN EXE:5200光刻機報價達到了3.8億美元。 ASML還會繼續推進Low-NA EUV光刻設備的開發,接下來將帶來新款Twinscan NXE:4000F,計劃在2026年發布,這凸顯了ASML對EUV製造技術的承諾。 ...

傳ASML有意搬離荷蘭:產能擴張需求,不滿當地營商環境

要說過去幾年裡半導體行業最耀眼的明星,ASML(阿斯麥)算是其中之一。作為世界上僅有生產EUV和High-NA EUV光刻機的設備供應商,ASML這幾年站到了世界半導體技術的中心位置,提供了生產7nm以下晶片不可獲取的製造工具。 據De Telegraaf報導,ASML有意搬離荷蘭,向其他地方擴張或遷移,而法國是其中一個選擇。ASML有此打算,很重要一個原因是近期荷蘭新組建的內閣可能收緊勞工移民規定,這將嚴重影響ASML招聘新員工及未來的發展。據了解,目前ASML在荷蘭大約有2.3萬名員工,其中40%是非荷蘭籍,海外留學生和雇員是其主要勞動力來源之一。 為此荷蘭政府已制定了一項名為「貝多芬(Beethoven)」的特別計劃,旨在解決ASML對荷蘭商業環境所擔憂的問題,包括外籍人士的稅收優惠、勞工移民規定和政治穩定等問題。荷蘭首相Mark Rutte還親自領導了參與該計劃的小組,這反映出ASML對荷蘭經濟及其在全球科技行業地位的重要性。 ASML希望未來幾年能夠大幅度提高產能,以滿足市場的需求。ASML計劃2025年至2026年的年產能提高至90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。要達成這樣的目標並不容易,而眼下荷蘭持續惡化的營商環境讓ASML感到不滿。 ASML並不是唯一考慮外遷業務的荷蘭企業,近年殼牌和聯合利華等跨國公司已經將總部從荷蘭遷出。 ...

29億元 Intel曬史上最貴開箱:全球首台高NA光刻機已裝機

快科技3月5日消息,Intel發布了一條特殊的開箱視頻,堪稱史上最貴:他們從ASML拿到的全球第一台高NA EUV光刻機,已經開始在美國俄勒岡州希爾斯伯勒附近的工廠內安裝了。 這台型號為Twinscan EXE:5000的光刻機著實是個龐然大物,運輸過程中動用了250個貨箱,總重約150噸,先用飛機從荷蘭運到俄勒岡州波特蘭,再用卡車分批次拉到工廠。 目前安裝的還只是核心組件,全部搞定需要250多名ASML、Intel的工程師,耗時約6個月,然後還得花時間調試。 這台光刻機主要作為研究之用,將會在Intel 18A節點上進行測試,但大規模量產得等到剛剛宣布的Intel 14A節點上,時間預計2025-2026年左右 。 該光刻機可以實現8nm的解析度,而現有低NA光刻機單次曝光只能做到13nm,同時電晶體密度幾乎可以增加3倍。 大家非常關心的價格上,ASML的說法是大約3.8億美元,但這只是起步價,更高配置自然更貴,Intel CEO帕特·基辛格透露在4億美元左右,也就是逼近29億元。 相比之下,低NA EUV光刻機約為1.83億美元。 除了Intel,三星、台積電、SK海力士也都訂購了高NA EUV光刻機,首批訂單有數十台。 來源:快科技

27億元 ASML公開展示高NA EUV光刻機:能造2nm以下工藝

近日,全球光刻機大廠ASML首次在其荷蘭總部向媒體公開展示了最新一代的High NA EUV光刻機。 除了已經率先獲得全球首台High NA EUV光刻機的英特爾之外,台積電和三星訂購High NA EUV預計最快2026年陸續到位,屆時High NA EUV將成為全球三大晶圓製造廠實現2nm以下先進位程大規模量產的必備“武器”。 ASML發言人Monique Mols在媒體參觀總部時表示,一套High NA EUV光刻系統的大小等同於一台雙層巴士,重量更高達150噸,相當於兩架空中客車A320客機,全套系統需要250個貨箱來裝運,裝機時間預計需要250名工程人員、歷時6個月才能安裝完成,不僅價格高昂也相當耗時。 根據此前的爆料顯示,High NA EUV的售價高達3.5億歐元一台,約合人民幣27億元。 Monique Mols解釋稱:“我們不斷進行工程設計和開發,還有大量工作要做來校準它並確保它適合製造系統。” “我們和我們的客戶也有一個陡峭的學習曲線。”預計ASML今年還將發貨“一些”(High NA EUV系統),並且在定製和安裝方面仍有工作要做。 ASML CEO Peter Wennink表示,AI需要大量運算能力和數據儲存,如果沒有ASML將無法實現,這也是公司業務一大驅動力。ASML上季收到的EUV設備訂單也創下了歷史新高。 英特爾在2023年12月已率先拿下了全球首台High NA EUV光刻機,並已經開始在英特爾俄勒岡州晶圓廠安裝。 此前外界預計該設備將會被英特爾用於其最先進的Intel 18A製程量產,不過,日前英特爾CEO基辛格(Pat...

High-NA EUV光刻機價值3.8億美元,ASML已收到10至20台訂單

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機。新設備的體積非常巨大,需要使用13個貨櫃和250個板條箱來進行運輸,將從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,另外還需要250名工程師並花費6個月完成安裝。 據相關媒體報導,ASML透露其一台High-NA EUV光刻機的價格大概為3.8億美元,是現有EUV光刻機(約1.83億美元)的兩倍多。目前ASML已從英特爾和SK海力士等公司獲得了High-NA EUV光刻機的訂單,數量在10至20台之間。與此同時,ASML計劃到2028年,每年生產20台High-NA EUV光刻機,以滿足市場的需求。 High-NA EUV光刻機是具有高數值孔徑和每小時生產超過200片晶圓的極紫外光大批量生產系統,用於製造3nm以下的晶片。其提供了0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 由於新一代光刻設備與舊款產品之間有許多不同之處,需要進行大量的基礎設施改造。英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味著大概在2026年至2027年之間開始啟用新設備。台積電(TSMC)要等到1nm級的A10工藝才會使用High-NA EUV光刻機,可能是出於對成本的考慮,也就是說要等到2030年左右。 ...

ASML回擊High-NA光刻機過於昂貴的指責,稱新技術是最具成本效益的解決方案

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機,從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,並在接下來的幾個月內完成安裝。據了解,每台High-NA EUV光刻機的成本約在3到4億美元。 近日SemiAnalysis的分析師表示,半導體製造商使用ASML新一代High-NA EUV光刻機在財務上意義不大。對於這種說法,ASML毫無疑問不會同意的,在接受Bits and Chips采訪時,ASML首次財務官Roger Dassen對於SemiAnalysis的進行了回擊。 Roger Dassen認為SemiAnalysis低估了High-NA EUV光刻機的好處,可以避免製造上雙重或四重曝光帶來的復雜性,只需要向英特爾了解一下就能明白了,稱新技術在邏輯和存儲晶片方面是最具成本效益的解決方案。從這點可以理解,錯過了EUV機遇的英特爾,為什麼最早下單High-NA EUV光刻設備。 具有高數值孔徑的新型High-NA EUV系統可提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵,同時每小時能生產超過200片晶圓。晶圓代工廠顯然了解使用High-NA EUV光刻機的利弊,ASML表示客戶已在2024年至2025年開始研發工作,並在2025年至2026年間進入大規模生產階段。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

暴漲500億 ASML賺大了:中國廠商狂買光刻機 擴產28nm等成熟製程

快科技1月25日消息,ASML送上了超預期的財報,所以公司市值一夜暴漲500億也是情理之中的事情。 ASML第四季度訂單激增 250%,從第三季度的26億歐元暴漲至91.9億歐元,最先進的極紫外光刻機已經拿下了56億歐元的訂單。 更重要的是,ASML表示,2023年淨銷售額增長30%,達到276億歐元。其中,中國市場占其光刻系統銷售額的29%,高於前一年的14%。 中國在去年第四季度占ASML銷售額的39%,成為該公司2023年的最大市場,而此前第一季度僅占8%。 在這樣的背景下,公司股價也是一度大漲近10%。ASML CEO在接受媒體采訪時表示,在經歷了一段需求放緩的時期之後,他現在看到了半導體行業的積極跡象,人工智慧需要大量的算力,將成為“我們的業務和客戶的業務的重要推動力”。 報告顯示中國廠商正在擴大28nm等成熟製程工藝 來源:快科技

ASML公布2023Q4及全年財報:表現略高於預期,訂單積壓量減少

今天,ASML(阿斯麥)公布了2023年第四季度和全年財報。 在2023年第四季度中,淨銷售額為72億歐元(約合人民幣561.98億元),毛利率為51.4%,淨利潤為20億歐元(約合人民幣156.11億元),整體表現略高於ASML預期。在2023年第四季度里,淨預定量為92億(約合人民幣718.09億元)歐元,其中56億歐元(約合人民幣437.1億元)自於EUV系統。在該季度里,ASML沒有根據2022-2025年股票回購計劃購買任何股票。在該季度里,ASML向客戶交付了業界首台High-NA EUV光刻機。 ASML在2023年全年淨銷售額為276億歐元(約合人民幣2154.26億元),相比2022年增長了30%,毛利率為51.3%,淨利潤為78億歐元(約合人民幣608.81億元)。截至2023年末,積壓的訂單總量為390億歐元(約合人民幣3044.07億元),低於去年同期的404億歐元。 2023年總股息為每股普通股6.10歐元,與2022年相比增長了5.2%。每股普通股1.45歐元的中期股息將於2024年2月14日支付。考慮到這一中期股息以及2023年支付的兩次每股普通股1.45歐元的中期股息,提交給股東大會的最終股息建議為每股普通股1.75歐元。 ASML預計,2024年第一季度的淨銷售額在50億歐元到55億歐元之間,毛利率在48%到49%之間,研發成本約為10.7億歐元,SG&A成本約為3億歐元。ASML執行長Peter Wennick表示,半導體行業繼續走在周期的底部,客戶對今年半導體市場的復蘇仍不確定,但也有一些積極的跡象,第四季度的強勁訂單顯然支持了未來的需求。ASML對2024年保持保守看法,預計收入與2023年接近。 ...

ASML 2023年淨賺608億元:EUV光刻機第四季度賣了436億

快科技1月24日消息,荷蘭光刻機巨頭ASML(阿斯麥)今天發布了2023年第四季度及全年財報,業績好得令人羨慕。 2023年第四季度,ASML淨銷售額72億歐元(¥561.0億),高於預期,毛利率51.4%,淨利潤達20億歐元(¥155.8億)。 經歷了幾個“平淡”的季度後,四季度新增訂單金額多達92億歐元(¥716.8億),其中56億歐元(¥436.3億)來自EUV光刻機。 2023全年,ASML淨銷售額達到276億歐元(¥2150.6億),毛利率為51.3%,淨利潤為78億歐元(¥607.7億)。 其中,尚未交付的訂單總額為390億歐元(¥3038.6億)。 ASML 2023年第四季度售出全新光刻機105台、二手光刻機7台,全年售出全新光刻機421台,年增104台,售出二手光刻機28台,與上年持平。 不過,ASML並未披露EUV光刻機的具體銷售數字。 ASML預計,2024年淨銷售額將與2023年基本持平,毛利率略微降低。 毛利率降低的原因一是EUV光刻機業務繼續增長,但浸潤式光刻機業務會有下降,二是將投資擴大產能。 其中,2024年第一季度淨銷售額預計約為50-55億歐元(¥389.6-428.5億),毛利率約為48-49%。 2025年毛利率預計將提高到54-56%,主要得益於EUV光刻機業務的更快增長,以及2024年產能投資的回報。 來源:快科技

3+億美元能造1.8nm Intel收到全球第一台高NA EUV極紫外光刻機

快科技1月7日消息,Intel官方宣布,位於俄勒岡州的晶圓廠已經收到ASML發貨的全球第一台高NA(數值孔徑) EUV極紫外光刻機,型號為“Twinscan EXE:5000”,它將幫助Intel繼續推進摩爾定律。 Intel早在2018年就向ASML訂購了這種新一代光刻機,將用於計劃今年量產的Intel 18A製造工藝,也就是1.8nm級別。 Intel還第一個下單了改進型的Twinscan EXE:5200,將在2025-2026年用於更先進工藝。 根據此前報導,ASML將在2024年生產最多10台新一代高NA EUV光刻機,其中Intel就定了多達6台。 到了2027-2028年,ASML每年都能生產20台左右的高NA EUV光刻機。 新光刻機的價格估計至少3億美元,甚至可能達到或超過4億美元,也就是逼近人民幣30億元,而現有低NA EUV光刻機需要2億美元左右。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

7nm高端DUV光刻機出口被撤銷 ASML:中國客戶積壓訂單都已交付

快科技1月2日消息,據ASML官方公告,2023年NXT:2050i、NXT:2100i光刻系統的出貨許可證被撤銷,不過這沒有太多可以擔心的地方。 按照ASML的說法,2023年基本交付了中國大陸客戶預付的DUV積壓訂單(至於2024年是不是可以繼續,ASML之前就表示不能確定。)。 事實上,2023年ASML一直都在向中國客戶供應光刻機,其中就包含了NXT:2000i和更先進的DUV型號的產品,且基本交付了中國大陸客戶預付的DUV積壓訂單。 至於先進的7nm、14nm等先進的EUV光刻機,ASML依然不能給中國客戶出售。 據ASML官網提供的信息,該公司目前在售的主流浸沒式DUV光刻機產品共有三款,分別是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。 ASML官網上關於這一台TNXT:1980Di介紹,在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光解析度,事實上光刻機是可以進行多次曝光。 來源:快科技

ASML向英特爾交付首台High-NA光刻機,售價可能達到4億美元

2022年初,ASML宣布與英特爾的長期合作進入了新的階段,雙方將攜手推進半導體光刻前沿技術。英特爾也向ASML發出了購買業界首個TWINSCAN EXE:5200系統的訂單,這是具有高數值孔徑(High-NA)和每小時生產超過200片晶圓的極紫外光(EUV)大批量生產系統,為雙方長期的High-NA EUV技術合作搭建框架。 據TomsHardware報導,ASML已向英特爾交付業界首台High-NA EUV光刻機。本周開始,新設備將從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,並在未來幾個月內完成安裝。High-NA EUV光刻機的體積非常巨大,需要使用13個貨櫃和250個板條箱來進行運輸。據了解,每台High-NA EUV光刻機的成本約在3到4億美元。 英特爾在2018年向ASML購入了TWINSCAN EXE:5000系統,可以作為試驗機,更好地了解High-NA EUV設備的使用,獲得寶貴經驗。Intel 18A工藝計劃在2025年量產,英特爾將會引入High-NA EUV光刻技術,這將領先於競爭對手台積電(TSMC)和三星。High-NA EUV光刻機將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 由於新一代光刻設備與舊款產品之間有許多不同之處,需要進行大量的基礎設施改造,提前部署可能會讓英特爾獲得競爭優勢。一方面能有更多的技術調整時間,另一方面有更充裕的時間進行基礎設施改造,以更好地適配High-NA EUV光刻機使用。 ...

近30億元 ASML明年生產10台高NA EUV光刻機:Intel獨吞6台

快科技12月21日消息,集邦咨詢的報告顯示,ASML阿斯麥將在2024年生產最多10台新一代高NA(數值孔徑) EUV極紫外光刻機,其中Intel就定了多達6台。 同時,三星星也在積極角逐新光刻機,台積電感覺壓力巨大。 NA數值孔徑是光刻機光學系統的重要指標,直接決定了光刻的實際解析度,以及最高能達到的工藝節點。 金屬間距縮小到30nm以下之後,也就是對應的工藝節點超越5nm,低NA光刻機的解析度就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。 因此,更高的NA成為必需。 ASML 9月份曾宣布,,型號“Twinscan EXE:5000”,從0.33做到0.55,光刻解析度縮小到8nm,可製造2nm工藝乃至更先進的晶片。 ASML沒有公布第一台高NA EUV光刻機的客戶,但業界普遍認為正是Intel。 Intel最初就計劃利用新光刻機投產Intel 18A工藝,但因為等不及,只能改用已有的0.33 NA NXE:3600D/3800E疊加雙重曝光。 ASML明年量產的高NA EUV光刻機,將是改進型的Twinscan EXE:5200,支持大規模量產。 未來,ASML將把年產量進一步提高到20台左右。 這種新光刻機的成本和價格沒有公開,猜測至少3億美元,甚至可能達到或超過4億美元,也就是逼近人民幣30億元。 目前的低NA EUV光刻機需要2億美元左右。 來源:快科技

三星與ASML達成協議:獲得High-NA EUV光刻設備技術的優先權

近年來,ASML站到了世界半導體技術的中心位置。目前ASML有序地執行其路線圖,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,預計會在未來幾個月內交付。 數周前,三星電子會長前往荷蘭,與ASML討論了幾項半導體業務。據Sammobile報導,三星已在上周與ASML簽署了一項價值1萬億韓元(約合7.7億美元/人民幣54.9億元)的協議,雙方將在韓國京畿道東灘投資建設半導體晶片研究設施,並在那裡共同努力改進EUV光刻製造技術。 這次三星獲得了High-NA EUV光刻設備技術的優先權,有助於確保購入下一代High-NA EUV光刻設備,為其DRAM存儲晶片和邏輯晶片的生產創造出優化High-NA EUV技術使用的機會。除了確保2nm晶片製造設備進入韓國,三星更看重的是與ASML建立的合作夥伴關系,以便更好地利用下一代光刻設備。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵,同時每小時能生產超過200片晶圓。此前英特爾已宣布購買業界首個TWINSCAN EXE:5200系統,計劃從2025年使用High-NA EUV進行生產。 據了解,ASML計劃明年生產10台High-NA EUV系統,其中英特爾已購入了6台。有消息稱,台積電計劃在2024年引入High-NA EUV系統,為2025年末2nm工藝進入大批量生產做好准備。ASML打算未來幾年內,將High-NA EUV系統的年產量提升至20台。 ...

ASML將任命新的總裁兼執行長:明年Christophe Fouquet接掌光刻巨頭

執行長Peter Wennink和首席技術官Martin van den Brink都將在明年退休,ASML(阿斯麥)需要新的領導者。目前ASML已發布公告,提名Christophe Fouquet成為新的總裁兼執行長,目前還要等待股東的批准,預計會在2024年4月24日舉行的下一次ASML股東大會後正式接任。 Christophe Fouquet是ASML現任首席商務官(CBO),在ASML工作了15年,主要專注於ASML的技術、產品和客戶。在其職業生涯中,開始於DUV業務,隨後領導ASML應用業務和EUV業務,近年來幾乎負責了ASML所有的業務。 圖:左邊Christophe Fouquet,右邊Peter Wennink Peter Wennink稱贊Christophe Fouquet具備最重要的ASML DNA,即協同合作和夥伴關系,同時表示會與對方展開緊密合作,確保權力的順利過渡,讓Christophe Fouquet在新崗位上有一個好的開始。Peter Wennink從2013年7月以來一直領導著ASML,帶領這家荷蘭企業邁向巔峰。過去十年裡,ASML推出了最先進的晶圓製造設備,Peter Wennink成功地將ASML打造成全球領先的半導體設備供應商。 Martin van den Brink早在1984年就加入了ASML,被視為ASML技術創新背後最有影響力的高管之一。在過去近40年裡,Martin van den Brink讓ASML從一間與佳能、尼康競爭的小角色脫穎而出,發展成為如今全球唯一一家可供應最高端半導體製造設備的製造商。去年Martin van den Brink還接受了媒體的采訪,談及了即將交付客戶的首台High-NA...
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

7nm高端DUV買不少 ASML:今年基本交付中國客戶預付光刻機積壓訂單

快科技10月20日消息,ASML(阿斯麥)CEO在2023 Q3業績電話會上表示,今年基本交付了中國大陸客戶預付的DUV積壓訂單。 不過,疊加出口管制新規,公司明年DUV收入將會減少,總銷售收入可能會有所下降。 這位CEO還表示,“受可再生能源、電動汽車、工業物聯網、電信基礎設施等領域龐大投資驅動,中國大陸對次關鍵和成熟製程的需求將會很大。” 今年9月初的時候,ASML就已經表示,獲得荷蘭官方的允許,在今年年底前向中國客戶出口其部分先進工具。 按照ASML的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品,這些產品從9月1日起就受到限制。 至於2024年是不是可以繼續,ASML則表示暫時不能確定。 據ASML官網提供的信息,該公司目前在售的主流浸沒式DUV光刻機產品共有三款,分別是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。 ASML官網上關於這一台TNXT:1980Di介紹,在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光解析度,事實上光刻機是可以進行多次曝光。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

光刻機巨頭ASML回應美國出口管制新規:受影響的中國晶圓廠數量有限

10月18日消息,對於AI晶片的管控,美國升級了新規,除了英偉達、AMD、Intel這些受傷外,ASML也在其中。 美國周二頒布了更新後的關於先進計算和半導體製造設備規則,增加了對先進晶片製造技術的出口限制。這些規定將在30天後生效。 對此,荷蘭光刻機巨頭阿斯麥(ASML)在聲明中表示,“根據目前收到的信息,我們認為適用該新規的涉及先進晶片製造的中國大陸晶圓廠數量有限。” ASML表示鑒於新規的篇幅和復雜性,它需要仔細評估潛在的影響。 “從中長期角度來看,這些出口管制措施可能會影響到我們不同的機台銷售量在各區域間的配比,但我們預計這些措施不會對公司2023 年的財務情況以及我們在2022年11月投資者日公布的2025年和2030年的長期展望產生重大影響。” ASML表示。 美國半導體行業協會 (SIA) 發布聲明,,而不會強化國家安全,因為此舉會鼓勵海外客戶轉向其他地方。 因此,我們敦促美國政府加強與盟友的協調,以確保所有公司有一個公平的競爭環境。 來源:快科技

ASML公布2023Q3財報:營收符合預期,明年屬於過渡年份

ASML(阿斯麥)公布了2023年第三季度財報,顯示該季度中淨銷售額為67億歐元(約合人民幣518.55億元),毛利率為51.9%,淨利潤為19億歐元(約合人民幣147.05億元)。 在2023年第三季度,淨預定量為26億(約合人民幣201.23億元)歐元,其中5億歐元(約合人民幣38.7億元)自於EUV系統。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約1億歐元的股票。此外,中期股息為每股普通股1.45歐元(約合人民幣11.22元),將於2023年11月10日支付。 ASML執行長Peter Wennick表示,2023年第三季度的淨銷售額處於預期范圍內的中間位置,同時毛利率51.9%高於預期,主要原因是該季度內受到DUV產品組合與部分一次性成本影響。半導體行業目前正在經歷周期的底部,今年年底應該會出現拐點,不過仍然不確定復蘇的形態,因此預計2024年屬於一個過渡年份,收入與2023年相若,為2025年的顯著增長做好准備。 ASML預計2023年第四季度的淨銷售額在67億歐元到71億歐元之間,毛利率在50%到51%之間,研發成本約為10.3億歐元,SG&A成本約為2.85億歐元。Peter Wennick稱,ASML確認了對2023年強勁增長的預期,淨銷售額增長接近30%,毛利率相對於2022年略有改善。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

DUV光刻機恐也不讓出口:ASML回應 正評估潛在影響

10月18日消息,美國正在限制英偉達的人工智慧(AI)晶片的銷售,同時還有光刻機,對此ASML也是火速回應。 有消息稱,美國還在限制進入一些國家/地區的設備清單中添加了一些深紫外(DUV)光刻系統,這超出了荷蘭最近的規定,以阻止荷蘭ASML發送較舊的DUV型號和備件。 DUV光刻機不如最先進的極紫外(EUV)光刻機,但可以以更高的成本製造幾乎同樣先進的晶片。 ASML表示,“ASML需要仔細評估潛在的影響。就我們的業務而言,根據目前收到的信息,我們認為適用該新規的涉及先進晶片製造的中國大陸晶圓廠數量有限。從中長期角度來看,這些出口管制措施可能會影響到我們不同的機台銷售量在各區域間的配比,但我們預計這些措施不會對公司2023年的財務情況以及我們在2022年11月投資者日公布的2025年和2030年的長期展望產生重大影響。ASML將向美國政府進一步澄清這些新規的適用范圍。” 按照ASML之前的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品。 來源:快科技

受3nm需求低迷影響,ASML明年EUV光刻機出貨量或下跌30%

如果要推進到7nm以下的先進位程工藝,ASML(阿斯麥)的EUV(極紫外光)光刻機可以說是必不可少的製造工具。為此在過去幾年裡,與EUV相關的設備成為了眾多半導體製造商爭搶的對象,一直處於供不應求的狀態,為此ASML還提高了產量。如果要購買一台EUV光刻機,往往還需要提前數月預訂。 對於EUV光刻機的運用最為成熟的當屬台積電(TSMC),這也是其領先於其他競爭對手的關鍵,目前已推進到3nm製程節點,蘋果也在剛剛上市的iPhone 15 Pro系列機型上採用了3nm工藝製造的A17 Pro晶片,不過這並沒有帶動3nm需求上升。據Wccftech報導,由於蘋果即將到來的新款iPad和MacBook Pro等產品的需求下降,加上高通因華為新機型導致3nm晶片訂單減少、三星3nm GAA和英特爾20A工藝需求不如預期,預計2024年市場對3nm產能的需求也會隨之下降。 此前就有報導稱,過去台積電、三星和英特爾等企業瘋狂爭搶EUV設備的熱度已經降溫,為縮小先進位程差距而不斷燒錢的三星和英特爾估計也會跟進台積電的做法,砍掉部分EUV設備的訂單。同時有消息指出,台積電還要求包括ASML在內的主要晶片製造工具供應商推遲交付晶圓廠所需要的設備。 ASML的報告顯示,2023年計劃出貨60台EUV光刻機,實際上前六個月僅出貨22台。隨著2023年EUV光刻機的出貨量下降,ASML對應的營收增長幅度也由原先預計的40%下調至25%。對EUV光刻機需求減弱的趨勢也將延續到明年,分析稱2024年ASML的EUV光刻機出貨量將大幅度下跌20%至30%。 ...

台積電要求供應商推遲交付設備,其中包括ASML

過去一段時間里,台積電(TSMC)的營收受到了全球整體經濟不景氣、終端市場需求減弱、以及客戶不斷進行庫存調整的影響。雖然台積電在全球多處有新建晶圓廠的工程,不過隨著產能需求減弱,最終還是選擇削減了資本支出的預算,減緩了部分項目的進度。 據相關媒體報導,台積電已要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備,原因是客戶需求存在不確定性,以及位於美國亞利桑那州的在建晶圓廠Fab21的工程面臨延期。這些供應商中包括了ASML(阿斯麥),合理推測台積電打算延後接收光刻設備,這也是晶圓廠里最昂貴的工具之一。 據了解,目前台積電同時進行的晶圓廠項目除了美國的Fab21外,還有兩處在台灣、一處在日本,德國的項目剛剛敲定還沒有開工。此外,台積電還需要不斷為現有的晶圓廠添加工具,以提高產能,顯然現在遇到了挫折,希望通過延遲接受設備放緩資本支出。台積電總裁魏哲家此前曾表示,疲軟的經濟環境及客戶變得更加保守的趨勢,都可能影響台積電的決策。 ASML執行長Peter Wennick此前接受媒體采訪時承認,目前設備訂單交付上出現了一些延遲,但仍保持樂觀的態度,認為這種情況只是短期的管理挑戰。盡管面臨較多不確定性,但ASML預計2023年的業績仍能實現強勁增長,淨銷售額將增長30%,毛利率將相對於2022年會略有改善。 ...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

華為Mate60推出讓ASML急了 光刻機巨頭CEO:孤立中國沒有希望 他們能搞定

9月9日消息,光刻機巨頭ASML喊話,出口管制不是可行做法,應該對中國廠商保持放開。 ASML CEO Peter Wennink接受荷蘭媒體采訪時表示,通過出口管制完全孤立中國廠商並不是一個可行的做法。華為Mate 60 Pro中的晶片實現突破就間接說明了這一點,這些限制實際上正在推動中國科技加倍努力創新。 ASML通過製造能夠生產世界上最先進晶片的光刻機設備,在這場晶片爭奪戰中發揮著舉足輕重的作用。 在這之前,美國半導體行業總裁也喊話,沒有一個國家可以扭轉晶片供應鏈,半導體行業需要中國。 中國是我們供應鏈的一個重要組成部分,同時也是我們非常大的客戶群。如果一個國家試圖憑一己之力扭轉整個供應鏈,其成本將高得令人望而卻步,而且我認為創新能力將會下降,沒有一家公司、一個國家能做到這一點。 今年7月在公布財報時,ASML方面解釋稱,“我們在第一季度公布財報時曾指出,中國大陸市場的未交付訂單占全球20%以上,因此,我們在2023年將看到全年對中國大陸客戶的分配也會與此相當。所以今後幾個季度中,中國大陸的出貨量將有所上漲。” 不過,這家光刻機巨頭在前述針對出口管制生效的聲明中稱,自2024年1月1日起,ASML將基本不會獲得向中國客戶發運受管制設備(TWINSCAN NXT:2000i及後續推出的浸潤式光刻系統)的出口許可證。 來源:快科技

ASML CEO:拿光刻機孤立中國毫無意義 他們能找到解決辦法

當地時間9月6日,全球光刻機龍頭大廠ASML執行長Peter Wennink接受荷蘭新聞電視節采訪時,強調了知識移民的必要性,並警告孤立中國的經濟後果。Peter Wennink認為,排除知識移民和美國施加的出口限制可能會削弱荷蘭。 Peter Wennink對知識移民的立場很明確:“他們對荷蘭經濟不可或缺。” 他指出,知識移民為經濟做出貢獻並納稅,ASML公司的員工來自多達124個國家,其中許多人來自印度、土耳其、比利時和葡萄牙。 然而,ASML 的執行長並不是唯一一個強調知識移民重要性的人。 經合組織建議,如果荷蘭想要保持經濟增長,針對移民工人的規則必須變得更加靈活。荷蘭多個行業的技術工人日益短缺,所需數量將超過歐盟工人。 Peter Wennink還批評了荷蘭大學只用荷蘭語教學的想法。他指出,科技行業是用英語運作的。因此,使用英語作為教學語言將有助於營造更具包容性的教育環境,並增加荷蘭大學對國際學生的吸引力。 荷蘭的教育體系在吸引知識移民方面發揮著至關重要的作用。數據顯示,來到荷蘭的非歐盟知識移民數量從 2016年的320個到2022年已增長到1860個。這一增長的部分原因在於荷蘭提供的安全和豐富的就業機會。 Peter Wennink還擔心荷蘭與中國的經濟關系,特別是荷蘭政府在美國的壓力下實施的出口限制。 他認為孤立中國是毫無意義的,因為中國有14億居民,在面臨歐洲和美國的限制時,他會尋求解決方案。 上周,華為就推出了Mate 60 Pro,這款手機使用了中國製造的晶片。 荷蘭現任政府在移民問題上存在分歧。一些政黨主張更容易的勞動力遷移,而另一些政黨則不情願。 然而,考慮到Peter Wennink等專家的意見,吸引更多的知識移民並與中國等國保持良好的經濟關系似乎對荷蘭未來的經濟增長至關重要。 來源:快科技

ASML今年發貨第一台高NA EUV光刻機:成本逼近30億元

EUV光刻技術的推進相當困難,光刻機龍頭ASML也是舉步維艱,一點點改進。 快科技9月7日消息,ASML宣布,將在今年底發貨第一台支持高NA(數值孔徑)的EUV極紫外光刻機,型號“Twinscan EXE:5000”。 NA數值孔徑是光刻機光學系統的重要指標,直接決定了光刻的實際解析度,以及最高能達到的工藝節點。 ASML現有最先進的EUV光刻機是NEX:3400C、NEX:3400D,NA只有0.33,對應的解析度為13nm,可以生產金屬間距在38-33nm之間的晶片。 但是,金屬間距縮小到30nm以下之後,也就是對應的工藝節點超越5nm,這樣的解析度就不夠了,只能使用EUV雙重曝光和/或曝光成形(pattern shaping)技術來輔助,不但會大大增加成本,還會降低良品率。 因此,更高的NA成為必需,新一代EXE:5000就能做到0.55 NA,光刻解析度也將縮小到8nm。 EXE:5000有點像是實驗平台,供晶片製造廠學習如何使用高NA EUV技術,而預計2025年發貨的下一代EXE:5200,才能支持大規模量產。 Intel最初計劃在其18A(1.8nm)工藝節點使用ASML的高NA EUV光刻機,2025年量產,但後來提前到了2024年下半年,等不及ASML的新機器。 於是,Intel就改用0.33 NA NXE:3600D/3800E,疊加雙重曝光來實現18A工藝,同時使用應用材料的Endura Sculpta的曝光成形系統來盡可能減少雙重曝光的使用。 盡管如此,Intel依然會是高NA EUV光刻機的第一家客戶,可能會在18A節點的後期引入它。 台積電、三星都計劃在2025年晚些時候投產2nm工藝,或許也會用上高NA EUV光刻機。 至於這種先進光刻機的價格,沒有官方數據,不同報告估計在單台成本就要3-4億美元,相當於人民幣22-29億元。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

7nm高端DUV光刻機仍可出口 ASML:最大程度保證中國用戶光刻機訂單

ASML已經獲得荷蘭官方的允許,在今年年底前向中國客戶出口其部分先進工具。 按照ASML的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品,這些產品從9月1日起就受到限制。 雖然ASML沒有透露具體要交付的單數,但他們也暗示,確實現在的訂單多到做不完,但是會保證在規定時間內向中國客戶大力交單。 今年6月和7月,中國進口的半導體設備價值總額接近50億美元,相比去年同期的29億美元增長了70%,其中大部分進口的半導體設備來自於荷蘭和日本。 自去年10月,美國限制先進半導體設備對華出口之後,另外兩大半導體設備強國——日本和荷蘭也相繼出台了對先進半導體設備的出口管制規定。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

7nm高端DUV光刻機仍可出口 ASML:年底前全力向中國客戶交付訂單

快科技9月1日消息,ASML方面已經表示,將在年底前向中國客戶交付部分先進晶片製造裝備。 據美國媒體報導稱,ASML已經獲得荷蘭官方的允許,在今年年底前向中國客戶出口其部分先進工具。 按照ASML的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品,這些產品從9月1日起就受到限制。 至於2024年是不是可以繼續,ASML則表示暫時不能確定。 據ASML官網提供的信息,該公司目前在售的主流浸沒式DUV光刻機產品共有三款,分別是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。 ASML官網上關於這一台TWINSCAN NXT:1980Di的介紹,其中在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光的解析度,事實上光刻機是可以進行多次曝光的。 來源:快科技