Home Tags 2nm

Tag: 2nm

台積電收獲美國840億元現金+貸款 第三座晶圓廠超越2nm

快科技4月9日消息,美國政府宣布,計劃向台積電提供66億美元現金補貼、50億美元低息貸款,總額116億美元,約合人民幣840億元,支持其在美國本土的晶片製造。 這是美國根據《晶片與科學法案》所批準的最大一筆投資。 同時,台積電計劃在美國亞利桑那州鳳凰城建設第三座晶圓廠,在美投資總額將超過650億美元,約合人民幣4700億元。 台積電在美國第一座晶圓廠Fab 21一期工程計劃2025年上半年投產,引入5nm、4nm工藝。 Fab 21二期工程計劃2028年投產,上馬3nm、2nm工藝。 二者合計年產能可超過60萬塊晶圓,產品市場價值超過400億美元。 最新規劃的第三座晶圓廠計劃升級到2nm和更先進工藝,但投產時間未定,可能要到2029-2030年,也就是第二座工廠完工之後。 台積電的這些投資,將為美國創造6000個高科技製造工作崗位,以及超過2萬個建築工作機會,還會花費5000萬美元培訓當地工人。 不過,台積電在美投資建廠也面臨諸多問題,尤其是進度遠不如預期,一二期工廠最早規劃的投產時間分別是2024年和2026年。 美國《晶片與科學法案》的其他高科技投資還有:Intel 85億美元直接補貼和110億美元低息貸款、、Microchip 1.62億美元直接補貼、BAE 3500萬美元直接補貼。 三星也正擴大在美投資,預計可獲得60億美元補貼。 來源:快科技

傳性能暴漲超50% Intel未來處理器要用台積電2nm

快科技1月29日消息,Intel這幾年大力推進IDM 2.0戰略,一方面開放對外代工,一方面尋求外部代工,更加靈活,Meteor Lake就是個開始,未來還會更進一步。 據媒體報導,台積電的第一批2nm工藝晶片預計2025年投產,蘋果、Intel等巨頭都非常感興趣,其中蘋果A系列處理器必然會鎖定相當大一部分訂單。 Intel則計劃在未來的Nova Lake處理器上導入台積電2nm,當然不是完全使用這一種工藝,而是用它製造某些模塊,最大可能就是GPU核顯模塊。 Nova Lake發布之前,我們還會陸續看到Arrow Lake、Lunar Lake、Panther Lake,所以為時尚早,目測估計至少也要到2026年。 權威軟體HWiNFO已經開始初步支持Nova Lake,據說它會升級源自第四代架構Druid的低功耗版本。 更有說法稱,Nova Lake會擁有Intel歷史上最大幅度的CPU架構升級,甚至比當年的酷睿誕生還要生猛,性能可比Lunar Lake提升超過50%! 是不是真的,走著瞧吧。 來源:快科技

日本佳能:我們能造2nm晶片 不需要ASML光刻機

日本光刻機大廠佳能(Canon)在今年10月13日宣布推出可以製造尖端晶片的納米壓印(Nanoprinted lithography,NIL)設備FPA-1200NZ2C之後,佳能執行長御手洗富士夫近日在接受采訪時再度表示,該公司新的納米壓印技術將為小型半導體製造商生產先進晶片開辟一條道路,使得生產先進晶片的技術不再只有少數大型半導體製造商所獨享。 納米壓印技術並不利用光學圖像投影的原理將集成電路的微觀結構轉移到矽晶圓上,而是更類似於印刷技術,直接通過壓印形成圖案。在晶圓上只壓印1次,就可以在特定的位置形成復雜的2D或3D電路圖。 當下的5nm製程的先進半導體製造設備市場,則由ASML的EUV光刻機所壟斷,單台價格約1.5億美元。 對於接下來更為先進的2nm及以下製程的晶片,ASML也推出了成本更為高昂的High-NA EUV光刻機,單台價格或將超過3億美元,這也使得尖端製程所需的成本越來越高。 相比之下,佳能的目前納米壓印技術將可以使得晶片製造商不依賴於EUV光刻機就能生產最小5nm製程節點的邏輯半導體。 佳能半導體設備業務部長岩本和德還表示,如果改進光罩,納米壓印甚至可以生產2nm先進位程的晶片。 佳能的納米壓印技術或許將有機會幫助佳能縮小其與ASML的差距。 更為關鍵的是,佳能的納米壓印設備成本和製造成本都遠低於ASML的EUV光刻機。岩本和德表示,客戶的成本因條件而異,據估算1次壓印工序所需要的成本,有時能降至傳統曝光設備工序的一半。而且,因為納米壓印設備的規模較小,在研發等用途方面也更容易引進。 佳能CEO御手洗富士夫此前曾表示,該公司的納米壓印設備的“價格將比ASML的EUV光刻機低一位數(即僅有10%)”。 在客戶方面,佳能表示目前收到了半導體廠商、大學、研究所的很多咨詢,以期待作為EUV設備的替代產品,使納米壓印設備備受期待。預計,該設備將可用於快閃記憶體、個人電腦用DRAM,以及邏輯等多種半導體生產用途上。 來源:快科技

Intel:我們1.8nm工藝輕松打敗台積電2nm 2年內沒對手

快科技12月23日消息,據媒體報導稱,Intel的CEO接受采訪時表示,自家的18A製程(1.8nm)比領先台積電N2,在這塊他們2年內沒有對手。 報導稱,英特爾的未來取決於重新獲得半導體製造領域的技術領先地位,這位CEO相信這將在兩年內實現。 在Intel的CEO看來,其對20A和18A充滿信心,主要是因為它們採用了RibbonFET架構,即全柵極 (GAA) 電晶體和背面功率傳輸技術。 這些技術對於製造2nm晶片的公司來說至關重要,可以在降低功率泄漏的同時實現更高的邏輯密度和時鍾速度。 與此同時,台積電的N3P和其他即將推出的3nm節點將繼續使用成熟的FinFET架構,直到英特爾一年後的N2節點轉向GAA。 不過台積電並不買帳,公司總裁魏哲家之前聲稱,根據內部評估,台積電N3P 3nm工藝在性能方面就可以媲美Intel 18A,而且更早推出、更成熟、更省成本。 他還強調,台積電的2nm工藝比Intel 18A更加先進,2025年推出的時候將成為最先進的製程工藝。 值得一提的是,Intel的CEO之前還表示,英偉達的成功都是運氣。 來源:快科技

台積電2nm工藝將在2025年量產,為iPhone 17 Pro生產處理器

在今年9月的蘋果推出了搭載A17 Pro處理器的iPhone 15 Pro,這顆處理器是採用台積電3nm工藝生成的,與之前相比有更好的性能與能效比,當然蘋果肯定不會就此停下來,他們已經開始在研發新一代處理器,根據最新的消息,台積電已經向蘋果展示了他們的2nm晶片,預計在2025年量產。 根據相關媒體報導,蘋果很有可能在2025年推出採用台積電2nm工藝生成的處理器的iPhone 17 Pro。蘋果是台積電最大的客戶,現在iPhone和Mac的處理器大部分都是由台積電生產的,蘋果直接買斷了台積電2023年的3nm產能,使得他們能夠領先於任何競爭對手採用更先進工藝,台積電預計在2025年量產2nm工藝,屆時iPhone 17是首款採用新工藝處理器的設備。 目前台積電的N3節點電晶體密度是183MTr/mm2,台積電還有四個3nm級別節點,其中剛進入量產的N3E節點電晶體密度提升至215.6MTr/mm2,而2024年後投產的N3P可進一步提升至224MTr/mm2,而他們的第一個2nm節點N2的電晶體密度會提升至259MTr/mm2,所以2024年蘋果很有可能會選擇使用N3P工藝作為過渡。 當然了上述所說的都是在理想情況下,如果不出意外的話台積電按時投產就能按上面的時間表進行,但新的工藝可能會出現各種延期,這就得看台積電那邊能否解決新工藝要面臨的各種問題了。 ...

台積電豪言:我們的3nm就能打敗Intel 1.8nm

Intel正在積極推進“四年五個製程節點”計劃,將在2024-2025年搞定20A、18A工藝,分別相當於2nm、1.8nm,尤其後者預計會反超台積電,重奪領先。 對此,台積電自然不會坐視不理,對自己的技術也非常自信。 台積電總裁魏哲家聲稱,根據內部評估,台積電N3P 3nm工藝在性能方面就可以媲美Intel 18A,而且更早推出、更成熟、更省成本。 他還強調,台積電的2nm工藝比Intel 18A更加先進,2025年推出的時候將成為最先進的製程工藝。 Intel 20A/18A將會引入全環繞柵極電晶體RibbonFET,以及背部供電PowerVia。 台積電的N3/N3E/N3P/N3X 3nm系列則依然是傳統的FinFET電晶體,2nm工藝上才會上馬GAA全環繞電晶體。 其中,N2版本計劃2025年下半年量產,N2P版本計劃2026年底量產。 在過去的第三季度,5nm工藝為台積電貢獻了37%的收入,遙遙領先其他節點,其次是7nm 16%、28nm 10%、16nm 9%。 3nm在這個季度第一次為台積電創收,就帶來了約10.3億美元(感謝蘋果)。 相比之下,5nm工藝在2020年第三季度首次商用取得收入約9.7億美元,占比約8%。 來源:快科技

台積電有望2025年量產2nm晶片 美國、日本工廠進展神速

10月19日,台積電總裁魏哲家在法人說明會上披露,台積電有望在2025年量產2nm工藝晶片。 目前,台積電已經開始量產3nm工藝,首發且迄今唯一用於蘋果A17晶片,後續還會疊代多個不同版本。 消息稱,台積電組建了全新的2nm任務團隊,布局前所未有,將同時沖刺2nm在新竹寶山、高雄兩座工廠同步在2024年試產、2025年量產。 台積電2nm工藝會首次放棄傳統的FinFET電晶體工藝,轉向GAA全環繞柵極電晶體,相較於N3E工藝同功耗下性能提升10-15%,同性能下功耗下降25-30%,但電晶體密度提升只有10-20%。 不過,代價也是非常高的。3nm代工晶圓已經漲價2萬美元,2nm預計會進一步達到2.5萬美元,折合超過18萬元人民幣。 此外,魏哲家還披露,台積電位於美國亞利桑那州的工廠計劃2025年上半年開始量產,位於日本的工廠則有望2024年底開始量產。 來源:快科技

日本富士通打造150核心超級處理器:2nm工藝

富士通的A64FX處理器曾經助力“富岳”(Fugaku)登頂全球超算第一,目前他們已開始研發下一代處理器,代號“Monaka”。 Monaka處理器和A64FX一樣仍然基於Arm架構,基於最新的Armv9-A指令集,最多大約150個核心,通過3D Chiplet設計分成兩個Die,並與SRAM、IO控制器等單元封裝在一起。 每個核心均有SVE2矢量單元,因此能並行處理大規模矢量數據,非常適合高性能計算、人工智慧,但具體寬度暫時不詳。 Monaka處理器支持DDR5內存和下一代PCIe 6.0總線,也支持CXL 3.0。 功耗不詳,但號稱風冷就可以搞定,能效非常高。 它將採用尚未投產的台積電2nm工藝製造,預計2026年(富士通的2027財年)推出。 值得一提的是,Monaka不會用於日本下一代頂級超算,而是作為過渡,到時候得看Monaka的下一代,預計2030年才會上線。 來源:快科技

AMD Zen5、Zen6架構細節首次曝光:原生32核心 直奔2nm工藝

AMD將在明年推出Zen5架構的銳龍8000系列、霄龍9005/8005系列,更下一代的Zen6架構也已經嶄露頭角,據說可以支持到 現在,MLID曝光了一份AMD架構路線圖,列出了Zen5、Zen6的不少細節,尤其是前者料很猛。 AMD Zen架構家族採取了波動式升級策略,一代大改、一代小改交替進行,比如Zen5就會是一次大改,Zen6則是一次小改。 Zen5架構代號Nirvana(涅槃),預計會將IPC提升大約10-15%,對比Zen3 19%、Zen4 14%似乎不是很突出,但一則這是早期預估目標,不排除未來進一步提升,二則也要考慮頻率同步提升所帶來的性能增益。 另一點就是首次大范圍應用“大小核”混合架構,搭檔Zen5c,但應該主要面向筆記本。 工藝方面,CCD升級為3nm,IOD升級為4nm。 尤為值得注意的是,Zen5將會首次支持原生16核心的CCD,相比這幾代的8核心翻了一番,使得桌面主流32核心成為可能。 其他方面,一級數據緩存容量從32KB增至48KB,同時8路關聯升級為12路,不過一級指令緩存仍是32KB, 二級緩存仍是每核心1MB。 分支預測繼續提升性能和精度,數據預取繼續改進,ISA指令與安全繼續增強,吞吐能力也進一步擴大,包括8寬度的分派與重命名、6個ALU算術邏輯單元、4個載入與2個存儲,等等。 Zen6架構代號Morpheus(希臘神話夢神摩耳甫斯),製造工藝將會進一步升級到CCD 2nm、IOD 3nm,而且CCD再次升級為原生32核心! IPC性能預計再提升10%,同時加入面向人工智慧、機器學習的FP16指令,以及新的內存增強。 此外,Zen6據說還會有新的封裝技術,可能會將CCD堆疊在IOD之上,可以達到縮小晶片面積、提升內部通信效率,但就沒法直接堆成64核心了。 Zen6大機率會繼續沿用AM5封裝接口,畢竟AMD承諾過要支持到2026年。 來源:快科技
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

劍指2nm工藝 歐洲《晶片法案》正式生效:目標2030年晶片產量提升20%

快科技9月22日消息,據央視新聞報導,當地時間21日,歐洲《晶片法案》正式生效。 根據歐盟委員會公告,該方案通過“歐洲晶片計劃”促進關鍵技術產業化,鼓勵公共和私營企業對晶片製造商及其供應商的製造設施進行投資。 據了解,今年7月,歐洲議會通過了《晶片法案》,法案要求,到2030年歐盟晶片產量占全球的份額應從目前的10%提高至20%,滿足自身和世界市場需求。 另一方面為技術目標,要發展先進工藝,包括建設10nm及以下節點FD-SOI試驗線、2nm以下工藝節點FinFET/GAA試驗線、3D異構先進封裝試驗線等。 按照歐盟委員會的說法,歐洲在全球半導體生產市場中所占的份額還不到10%,並且嚴重依賴第三國供應商。 如果全球供應鏈嚴重中斷,歐洲工業部門可能會在短時間內耗盡,導致歐洲工業陷入停滯。 根據晶片法案,到2030年歐盟將匯集來自歐盟機構和各成員國111.5億歐元公共投資,並將利用大量私人投資。 來源:快科技
開源CPU RISC-V總部遷往瑞士 不受美國鉗制 技術更中立

蘋果A19 Pro首發 台積電辟謠「寶山2nm廠延期量產」:廠區依規劃建設中

快科技9月19日消息,近日有報導稱台積電寶山2納米廠量產將從原定的2025年下半年延至2026年。 對此,台積電最新回應稱,目前廠區建設依規劃進度進行中。 根據台積電的規劃,竹科寶山和中科是2納米製程的生產基地,8月進一步決定高雄廠也將導入2納米製程。 此前台積電副總經理張曉強曾透露,目前256Mb SRAM晶片已經可以做到50%良率以上,目標則80%以上。 據了解,台積電2nm工藝會放棄FinFET電晶體工藝,轉向GAA電晶體,相較於N3E工藝,N2在相同功耗下速度增快10%-15%,或在相同速度下功耗降低25%-30%,不過電晶體密度提升就只有10-20%了。 不過技術先進的代價就是2nm代工價格越來越貴,在3nm漲價到2萬美元的基礎上,2nm代工一片晶圓的價格是2.5萬美元,超過18萬元人民幣。 如果一切順利的話,蘋果將依然會拿下首發,並幾乎吃下前期所有的產能。 首發產品自然是iPhone 17上將會搭載的A19系列晶片,從目前iPhone 15搭載的A17 Pro來看,屆時將會是A19 Pro來首發2nm工藝。 來源:快科技

Rapidus預估2nm晶片成本:目前日本主流晶片的10倍

Rapidus是由索尼、豐田、NTT、三菱、NEC、鎧俠和軟銀等八家日本企業於2022年成立的合資企業,旨在實現本地化先進半導體工藝的設計和製造。Rapidus提出了一個詳細的路線圖,同時在2022年底與IBM簽署了技術授權協議,准備在2025年試產2nm晶片,並於2027年進入大規模生產階段。 近日,Rapidus社長小池淳義在接受媒體采訪時表示,2nm晶片對於日本來說至關重要,因為一些晶片將用於對國家安全至關重要的高性能計算應用,另外一些晶片會用於自動駕駛汽車和機器人等領域的創新民用應用。 圖:左二為小池淳義,左三為IBM高級副總裁Dario Gil 小池淳義稱,與目前日本生產的主流晶片相比,2nm晶片的生產成本將大幅度提高,差距達到了10倍。這樣的情況是可以預見的,畢竟日本本土的半導體技術與先進工藝有一段距離,基本上是依賴於成熟製程節點。目前日本企業如果需要製造40nm以下的晶片,一般會找台積電(TSMC)等台灣的代工廠。 Rapidus位於日本北海道千歲市的晶圓廠計劃於2025年4月開始試產2nm晶片,生產設施預計會在2024年9月進入試運行階段,包括水、電、氣和通風系統都會在2024年12月完成所有準備工作。小池淳義保證Rapidus能招聘足夠的工程師,目前已成功吸引海歸或轉行進入半導體行業的日本專業人士。截至2023年4月,Rapidus大約招聘了100名工程師,預計今年年底前將翻倍,首批工程師已經到IBM的奧爾巴尼納米技術中心接受培訓。 ...
記憶體、CPU穩了 中國台灣6.7級地震未影響半導體生產

不怕被起訴 日本最快2025年搞定2nm:1nm工藝也在路上

快科技4月24日消息,日本為了復興半導體產業輝煌,索尼、豐田等8家企業成立了合資公司Rapidus,聯手美國IBM公司,最快2025年搞定2nm工藝,不過他們的野心不止於此,未來的1nm也在路上。 Rapidus會長日前在媒體會議上介紹了公司的進展,他們之前已經選定了在日本北海道千歲市建設晶圓廠,有2棟以上的廠房,除了2nm工藝之外,還為1nm晶圓廠做准備。 不過Rapidus的1nm量產規劃還是個謎,目前還沒有明確的計劃公布,業界之前的預期是2029年前後量產1nm工藝。 快科技獲悉,Rapidus的計劃是基於IBM之前公布的2nm工藝研發Rapidus版2nm,2025年試產2nm晶片,2027年正式量產——這個進度非常激進,台積電的2nm也不過是2025年量產,2026年才能上市,這還是不跳票的前提下。 Rapidus搞定2nm工藝還面臨著人才及資金等多重考驗,其中2nm生產線的投資及技術研發等需要7萬億日元,人民幣超過3600多億,這筆錢指望Rapidus的8家參股公司自行投資是不可能的,該公司董事長之前明確表示需要日本納稅人補貼才行。 此外,Rapidus還面臨一個新的問題,那就是合作的IBM公司最近遭遇格芯起訴,後者稱IBM跟Rapidus的合作侵犯了格芯的專利,並且有不當得利,這個問題也會影響日本2nm工藝的研發。 來源:快科技
中芯國際已獲美國成熟工藝許可?真相來了

功耗降低30% 台積電稱2nm工藝客戶熱情高漲:2025年量產

快科技4月20日消息,台積電今天上午舉行了Q1季度財報會,營收5086.3億新台幣,同比增3.6%,環比減18.7%,淨利潤2069億元新台幣,同比增長2.1%,環比下降30%,超出市場預期,但這是4年來最小增幅。 台積電預計Q2季度銷售額152億美元至160億美元;預計第二季毛利率在52-54%,預測全年資本支出320億美元至360億美元,相比外界的傳聞而言沒有削減投資。 在Q1季度中,先進工藝貢獻了台積電過半營收,其中7nm營收占比20%,5nm工藝出貨營收占比31%,二者合計超過51%,遙遙領先其他工藝。 在更先進的工藝中,台積電稱3nm工藝今年下半年會放量,同時還有更低成本但密度有所減少的N3E工藝量產。 再往後還有2nm工藝,台積電表示客戶對2nm工藝熱情高漲,將按計劃在2025年量產,但沒有提及具體的客戶信息,不出意外還是蘋果首發。 台積電的2nm工藝將放棄FinFET電晶體結構,首次使用GAA電晶體,相較於其N3E(3nm的低成本版)工藝,在相同功耗下,台積電2nm工藝的性能將提升10~15%;而在相同性能下,台積電2nm工藝的功耗將降低23~30%。 不過2nm工藝的電晶體密度僅提升了10%,相比之前的工藝提升60-70%大為縮水,更沒有達到摩爾定律所需的100%密度提升。 來源:快科技

台積電28nm新工廠設備采購全部取消 2nm恐怕也要跳票

業內傳出消息稱,由於今年一季度業績不及預期,晶圓代工龍頭大廠台積電高雄、南科、中科與竹科都傳出擴產計劃放緩、產能重新調配的消息。 根據傳聞顯示,台積電高雄廠原定於今年1月開標的相高雄廠機電工程標案延後了一年,相關無塵室及裝機作業也將隨著延後,這也意味著台積電高雄廠2024年量產的計劃也將延後一年左右。 此外,台積電高雄廠計劃采購的用於28nm製程生產的機台清單也全數取消。 資料顯示,台積電原本規劃於高雄建2座晶圓廠,包括7nm及28nm廠。其中,7nm廠可能是因為智慧型手機和個人電腦市場需求疲軟影響而有調整,至於28nm廠擴產延期原因則有待觀察。 對此,台積電表示,高雄廠製程技術與時間表依客戶需求與市場動向而定,目前正處法人說明會前緘默期,將於4月20日法說會中對於整體市況明確說明。 除了高雄廠擴產放緩以外,供應鏈還傳出消息稱,台積電南科與竹南的先進封裝前後段與測試產能規模開始縮減,已有廠房、設備、材料等業者也接獲通知,說工程進度和拉貨延後至少半年以上。 由於現有計劃放緩,預估2025年量產的竹科寶山、中科2nm晶圓廠,可能會延後放量時間至2026年。 在整體擴產計劃方面,除了在台灣的高雄廠、寶山、中科、南科有持續擴產之外,台積電美國4nm新廠預計2024年量產,2026年將量產3nm;而日本新廠也將在2024年量產。 預期2024年台積電海外擴產帶來的高成本挑戰恐怕不小,確實有必要精簡支出。 因此,有供應鏈透露,台積電已向台灣島內外設備供應商修正了2024年訂單,2024年資本支出有可能較今年下滑雙位數百分比。 在傳出台積電多個廠區擴產全面放緩消息的背後,整個半導體市場復蘇的不及預期也是一大關鍵原因。 根據美國半導體產業協會(SIA)最新公布的數據顯示,今年2月,全球半導體產業銷售額總計397億美元,較1月的413億美元下降了4%,相比2022年2月的500億美元則下滑了20.7%,創2009年以來最大的跌幅。 另外,台積電最新公布的3月及一季度營收數據也不及預期。台積電3月營收金額約為新台幣1,454.08億元,相比2月份下滑了10.9%,較2022年同期相比下滑了15.4%。 累計2023年1至3月營收約為新台幣5,086.33億元,雖然相比2022年同期仍保持了3.6%的增長,但這似乎主要得益於台積電今年一季度開始的漲價策略。 而且一季度這個營收數據也低於台積電此前給出的介於167億到175億美元(約合新台幣5,126.9億至 5,372.5億元)的指引下限。 不過,目前擴產放緩的信息都還是傳聞,具體還需要等待台積電4月20日的一季度法說會進行解答。 來源:快科技
為3nm工藝拼了 台積電日薪千元求工人春節加班

彎道超車 日本晶片工藝從45nm直接躍升到2nm:靠什麼?

40多年前日本的半導體世界第一,讓美國公司也喘不過氣來,然而被打壓之後日本的優勢逐漸消失,現在主要是在部分半導體設備及材料領域有優勢,先進工藝上已經落後。 日本現在依然有多家半導體巨頭,比如索尼、鎧俠、瑞薩、軟銀(收購ARM),但是先進工藝已經斷檔,2010年左右發展到了65nm,後面能少量生產45nm,28nm及之後便的工藝就要依賴台積電等公司代工了。 盡管工藝已經落後,但日本復興半導體的野心卻很龐大,索尼、豐田、鎧俠、三菱、軟銀等8家公司聯合出資成立了Rapidus公司,計劃直接搞定2nm工藝,最快在2025年量產,2025到2030年的幾年中則會給其他企業提供代工服務。 從45nm工藝直接躍升到2nm工藝,日本這一波彎道超車是半導體史上都沒有的。 搞定2nm工藝需要至少3方面的突破,一個是技術,一個是資金,一個是市場,在技術上日本是指望跟美國的IBM公司合作,後者前兩年就演示過2nm工藝,但IBM的2nm工藝還停留在實驗室級別,距離量產要很遠。 至於資金,Rapidus 董事長Tetsuro Higashi本月初表示該公司將需要大約7萬億日元(540億美元)的資金,在這方面日本官方已經並且還會繼續提供大量補貼,多數還是要看納稅人買單。 不過日本2nm工藝最關鍵的一個問題是造出來給誰用,客戶是誰,不論是PC還是手機領域,日系公司都不占優勢了,跟台積電搶蘋果、高通、NVIDIA、AMD等客戶,日本又面臨著成本及技術可靠性的問題。 總之,日本2nm工藝復興夢想遠大,但每一個過程都不容易。 來源:快科技
14nm營收明年占10% 中芯國際N+1工藝年末量產 性能提升20%

復興80年代輝煌 日本為2nm工藝拼了:砸7萬億日元支持自產

日本曾經是半導體行業的王者,上世紀80年代最輝煌的時候打得美國廠商沒有還手之力,Intel還被迫退出記憶體晶片行業,最近20年日本半導體地位也衰落了,先進工藝都要拉攏台積電去日本建廠。 然而台積電的工廠也只有22nm到28nm工藝,並非最先進工藝,為此日本八大電子電氣行業巨頭,包括豐田、Sony、NTT、NEC、軟銀(Softbank)、Denso、鎧俠(Kioxia)、三菱UFJ等企業在日本政府支持下成立了Rapidus公司。 Rapidus又聯合了美國IBM、歐洲IMEC微電子中心等,計劃直接搞定2nm工藝,最快在2025年量產,2025到2030年的幾年中則會給其他企業提供代工服務。 此前日本政府已經給了700億日元的補貼,但這點錢是杯水車薪,距離2nm量產還有天價資金。 Rapidus 董事長Tetsuro Higashi本月初表示該公司將需要大約7萬億日元(540億美元)的資金,其中大部分是納稅人的錢,才能在2027年左右開始大規模生產先進的邏輯晶片。 對於這一目標,今天日本經濟產業大臣西村康稔表示予以支持,表示對日本晶片企業Rapidus在日量產2納米晶片寄予厚望,日本政府准備繼續並強化對該公司的財政支持。 來源:快科技

美日加入2nm工藝競賽,Rapidus計劃2025年試產2nm晶片

三星在去年公布了未來的技術路線圖,2025年將開始大規模量產2nm工藝,更為先進的1.4nm工藝預計會在2027年量產,同時還會加速2.5D/3D異構集成封裝技術的開發,預計2024年將提供名為X-Cube的3D封裝解決方案。台積電(TSMC)的目標是2025年量產其N2工藝,並在2nm製程節點使用Gate-all-around FETs(GAAFET)電晶體。 台積電和三星占據了全球大部分的晶圓代工業務,不過隨著英特爾在2021年開始執行IDM 2.0戰略,啟動英特爾代工服務(IFS)並更新了技術路線圖,以求在2025年量產Intel 18A工藝,先進工藝的爭奪戰從雙向競爭變成了「三國殺」。據Business Korea報導,日本半導體公司Rapidus總裁小池淳義在接受采訪時表示,准備在2025年試產2nm晶片,以便於本世紀20年代後半段開始批量生產。 圖:左二為小池淳義,左三為IBM高級副總裁Dario Gil Rapidus是由索尼、豐田、NTT、三菱、NEC、鎧俠和軟銀等八家日本企業於2022年成立的合資企業,旨在實現本地化先進半導體工藝的設計和製造。隨著Rapidus總裁的這番表態,看起來2nm工藝又多了一位參賽選手,走向四方競爭。 Rapidus提出了一個詳細的路線圖,其中要獲得2nm工藝技術需要2萬億日元(約合人民幣1042.7億元),另外需要3萬億日元(約合人民幣1564.06億元)建立大規模生產線。Rapidus已在2022年底與IBM簽署了技術授權協議,後者早在2021年就試產了2nm晶片。 此外,日本政府計劃在十年內向半導體領域投資5萬億日元(約合人民幣2606.7億元),同時提供700億日元(約合人民幣元36.5億元)的補貼。 ...

日本復興半導體 最快2025年量產2nm工藝:需5萬億日元投資

在半導體領域,日本曾經是世界一哥,80年代甚至打得美國公司無力競爭,Intel退出記憶體晶片轉向CPU也是被日本公司逼得,只不過日本最近20多年錯過了半導體技術,尤其是先進位造工藝上已經落伍。 日本這兩年也重燃了半導體雄心,希望能夠掌握核心技術,為此日本八大電子電氣行業巨頭,包括豐田、Sony、NTT、NEC、軟銀(Softbank)、Denso、鎧俠(Kioxia)、三菱UFJ等企業在日本政府支持下成立了Rapidus公司。 Rapidus又聯合了美國IBM、歐洲IMEC微電子中心等,計劃直接搞定2nm工藝,最快在2025年量產,2025到2030年的幾年中則會給其他企業提供代工服務。 為了扶持Rapidus,日本政府已經提供了700億日元的補貼,用於先進技術研發。 然而對半導體行業來說,這700億日元只是杯水車薪,實現2nm研發到量產需要天量資金,Rapidus社長日前表示,研發2nm等先進技術就需要2萬億日元,籌備生產線還要3萬億日元,兩者加起來至少5萬億日元,約合人民幣2600億。 不過對日本企業抱團發展2nm工藝,行業內看好的並不多,因為日本的想法並非基於需求驅動,不僅是投資幾千億的問題。 關鍵在於日本2nm即便成功量產,有多少企業會用日本2nm工藝代工,未來幾年全球有2nm晶片代工需求的就那麼幾家公司,別忘了日本量產之前會有台積電、三星及Intel就已經在搶市場了。 來源:快科技

淘汰FinFET 升級革命性GAA電晶體:台積電重申2025量產2nm

在今天的說法會上,台積電透露了新一代工藝的進展,3nm工藝已經開始量產,2023年放量,有多家客戶下單,再下一代的是2nm工藝,台積電CEO重申會在2025年量產。 與3nm工藝相比,台積電2nm工藝會有重大技術改進,放棄FinFET電晶體,改用GAA電晶體,後者是面向2nm甚至1nm節點的關鍵,可以進一步縮小尺寸。 相比3nm工藝,在相同功耗下,2nm速度快10~15%;相同速度下,功耗降低25~30%。 不過2nm工藝的電晶體密度可能會擠牙膏了,相比3nm只提升了10%,遠低於以往至少70%的電晶體密度提升。 台積電的2nm工藝應該還是會由蘋果首發,今年的A16是4nm,明年的A17及後年的A18應該都是3nm,2025年的A19晶片才有可能用上2nm工藝。 來源:快科技
功耗降低30% 台積電3nm快馬加鞭 2021年正式量產

搶先量產2nm 重振日本半導體 73歲的業內大腕東哲郎出山

在半導體領域,日本一度做到了世界第一,80年代份額還壓制了美國,日本企業甚至逼得Intel放棄記憶體晶片轉向處理器行業,然而現在日本半導體行業沒有了先進位造能力,全球份額也在下滑。 這兩年來日本也下定決心重振半導體業務,2022年底日本豐田、索尼、鎧俠、NEC、軟銀、電裝等8家公司聯合投資成立了Rapidus公司,每家出資10億日元。 Rapidus計劃最快2025年量產2nm製程晶片,到2027年量產改良版2nm及之後的新一代半導體工藝。 為了讓Rapidus公司進入投入運營,日本請來了業內大腕——73歲的東哲郎,他之前是東電Tokyo Electron(TEL)的社會及會長,帶領這家公司成長為全球TOP5的半導體裝備公司,不過2019年因為連續兩年業務虧損的原因辭去了職務。 在那之後,東哲郎也沒有閒著,開始游說政府部門加強半導體,一改以往信奉的自主發展業務的目標,開始藉助國家的力量實現日本半導體復興。 在2022年Rapidus公司成立之後,東哲郎成為了Rapidus公司的會長,開始聯合美國IBM及歐洲的IMEC為先進工藝製造東奔西走。 來源:快科技
日本牽頭 2nm hCFET晶體管浮出水面

再不努力就要歸零 2nm工藝成日本半導體最後的機會

了解半導體發展的網友可能記得,上世紀80年代Intel退出記憶體市場,專注CPU處理器是被迫的,當時日本半導體極為強大,Intel也不得不避其鋒芒。 在巔峰時期的80年代,日本半導體占了全球份額的45%,但也因此遭到美國打壓,在簽署一系列協定之後,日本的半導體產業也迅速衰落,2021年全球份額只有6%了。 按照這個趨勢下去,到了2030年,日本半導體在全球的份額就要歸零了,這種局面是日本不甘心接受的。 從去年到現在,日本一直在籌劃復興半導體產業,最近幾個月動作頻頻,其中推動豐田、索尼、NEC、鎧俠等8家日本電子、汽車行業巨頭聯合Rapidus就是其中的關鍵一步。 Rapidus公司的目標是重振日本在先進半導體工藝上的地位,直接把目標放在了未來的2nm及以下節點上,此前消息稱他們預計最快在2025年量產2nm工藝。 由於日本在先進工藝研發製造商缺失多年,因此Rapidus成立之後迅速拉攏了兩大盟友,一個是歐洲的IMEC比利時微電子中心,一個是美國藍色巨人IBM,希望藉助他們的技術研究2nm工藝,並最終由Rapidus實現量產。 考慮到日本公司目前能量產的工藝還在28nm以上,中間錯失那麼多代工藝之後就直接進入2nm節點,這個目標野心極大,但是Rapidus公司已經別無選擇,社長小池淳義表示,Rapidus是日本挽回空白10年的“最後機會”。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

日本自研2nm工藝 台積電大佬表態:5nm都沒 跳躍式發展很難

作為曾經的半導體一哥,日本如今在先進工藝上已經落伍,為此日本計劃投入重金研發2nm工藝,找來了美國IBM公司合作,希望最快2025年量產2nm工藝,一舉追上台積電、三星等公司。 日本研發2nm工藝,台積電怎麼看?是否感覺到了威脅?日前台積電聯席CEO魏哲家也回應了此事,認為日本發展2nm工藝是非常困難的。 魏哲家表示,如果一個企業或者國家想要跳躍式發展,不能說不可能,但是相當困難。 “日本有沒有3nm、4nm及5nm工藝?”魏哲家表示,“彎道超車的後果就是保險公司要賠錢。” 對於日本的計劃,他不打算評論,日本有自己的打算。 此前報導,IBM確認跟日本Rapidus公司達成合作,雙方將成立研發機構,IBM會派遣員工參與合作。 Rapidus公司是前不久日本至少八大電子巨頭聯合成立的半導體公司,吸引了日本豐田、索尼、鎧俠、NEC、軟銀、電裝等8家公司聯合投資,每家出資10億日元。 Rapidus計劃最快2025年量產2nm製程晶片,到2027年量產改良版2nm及之後的新一代半導體工藝。 在IBM之前,日本Rapidus公司也跟比利時的IMEC歐洲微電子中心達成了合作協議,後者可以說是全球先進工藝研發的核心機構,ASML等公司都跟IMEC有深厚的合作關系。 來源:快科技

聯盟IBM 日本找來2大高手攻關2nm工藝:最快2025年量產

20世紀80年代,日本是全球的半導體霸主,一度嚴重威脅了美國公司地位,之後被打壓,現在只在個別領域還有優勢,先進工藝上已經落後當前水平10到20年,不過日本已經制定復興計劃,聯手歐洲IMEC之後又確定聯手IBM。 此前報導就指出,日本要想攻關2nm先進工藝,潛在的合作對象就是IBM公司,後者也在日前發布聲明,確認跟日本Rapidus公司達成合作,雙方將成立研發機構,IBM會派遣員工參與合作。 Rapidus公司是前不久日本至少八大電子巨頭聯合成立的半導體公司,吸引了日本豐田、索尼、鎧俠、NEC、軟銀、電裝等8家公司聯合投資,每家出資10億日元。 Rapidus計劃最快2025年量產2nm製程晶片,到2027年量產改良版2nm及之後的新一代半導體工藝。 在IBM之前,日本Rapidus公司也跟比利時的IMEC歐洲微電子中心達成了合作協議,後者可以說是全球先進工藝研發的核心機構,ASML等公司都跟IMEC有深厚的合作關系。 來源:快科技
松下半導體業務將出售 還是持續虧損所致

2nm晶片太燒錢 沒有50億搞不定:CPU、顯卡還得漲

晶片工藝越來越先進了,今年三星量產了3nm工藝,台積電的3nm也是箭在弦上了,明年就會是3nm的高光時代,2024到2025年則是2nm工藝量產。 先進工藝生產出來的晶片性能更強大,能效也更好,但這也不是沒有代價的,最大的麻煩就是燒錢,不僅是3nm、2nm工廠建設需要200億美元以上的資金,哪怕是AMD、NVIDIA、蘋果、高通這樣的晶片設計公司,開發一款晶片的成本也會越來越高。 在前不久的IEDM會議上,Marvell公司公布了一些數據,援引IBS機構分析了各個工藝下晶片開發成本,其中28nm工藝只要4280萬美元,22nm工藝需要6300萬美元,16nm工藝需要8960萬美元。 後面的先進工藝開發成本就直線上漲,7nm需要2.486億美元,5nm需要4.487億美元,3nm需要5.811億美元,而2nm工藝需要的開發資金是7.248億美元,人民幣約合50億。 換句話說,如果某家公司想要自己搞一款先進工藝晶片,比如2nm處理器,不說設計周期要幾年時間,光是投入的資金就得50億元。 還不算生產的費用,2nm代工價格現在還沒有,但是3nm工藝就要2萬美元以上了,漲價25%。 照這樣發展下去,未來2nm的CPU及顯卡就算能做出來,成本也會一路上漲,在這個方向上已經沒有退路了。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

歐盟3350多億就想搞定2nm工藝?誤會了 沒那麼高水平

半導體晶片的重要性無需多言,經濟水平靠前的國家和地區都在加強自己的半導體產業鏈,歐盟也不例外,日前通過了450億歐元,約合3354億元人民幣的《歐洲晶片法案》,要打造自己的晶片產業。 這個《歐洲晶片法案》涉及的內容很多,連量子晶片都有提到,不過核心的目標可以歸納為兩點,一個是將歐盟生產晶片的份額在2030年提升到全球的20%,早在2000年的時候歐盟就占了24%全球份額,但近年來下滑到了8%.。 另一個目標就是先進工藝,歐盟希望也能掌握世界領先的晶片工藝,其中重點提到了2nm,即便是2030年這也是全球最先進的工藝之一。 為了實現這兩個目標,歐盟450億歐元的資金會給多家公司補貼,但是如果按照2nm工藝的標準來算,那恐怕沒有公司能達到補貼標準,就算達到了也不會是歐盟的公司,而是台積電、三星、Intel等歐盟外的公司。 在這一點上,歐盟還是很清醒的,450億歐元補貼就算全給2nm工藝也不一定能搞定,因此他們在法案文本中定義的先進工藝概念要寬泛很多,不是大家理解的一定要到2nm(或者3nm、5nm)這樣,成熟工藝生產的晶片也可以,但需要跟創新的晶片布局及封裝技術相結合。 簡單來說,歐盟的補貼標準沒有也不可能嚴格卡上2nm的標準,理論上28nm甚至40nm這樣的成熟工藝也可以,但要加上先進封裝技術,也就是chiplet方向的技術也是在補貼內的。 來源:快科技
日本牽頭 2nm hCFET晶體管浮出水面

日本研發、量產2nm工藝被質疑:35億投資不夠台積電「塞牙縫」

在籌劃了近一年之後,日本的2nm先進工藝項目差不多水落石出了,作為曾經的半導體一哥,製造2nm及以下先進工藝對日本來說非常重要。 日前至少8家日本公司已經聯合投資成立了新公司,名為Rapidus,由日本東京電氣(Tokyo Electro,全球半導體設備大廠)的前社長東哲郎等人主導,吸引了日本豐田、索尼、鎧俠、NEC、軟銀、電裝等8家公司聯合投資,每家出資10億日元。 根據他們的計劃,這家公司預計在2020年代末建立一條生產線,而且還會從事代工業務,2030年左右開始給其他晶片企業提供代工服務。 日本最終的計劃是擺脫對台積電等晶圓代工企業的依賴,自己掌握先進工藝研發及生產。 然而日本的2nm工藝計劃一直也是爭議不斷,要想搞定這個項目,至少有三個大問題要解決。 第一是技術,2nm及以下工藝需要GAA技術電晶體,然而日本現在連FinFET電晶體工藝都沒有生產能力,針對此問題,日本的計劃是跟美國的IBM合作,後者之前在全球展示了2nm工藝晶片。 但是很奇怪,雖然日本官方及媒體都篤定IBM會跟他們合作,但是IBM官方目前都沒有回應過這個2nm項目。 即便技術問題解決了,但是研發的錢呢?日本官方雖然有龐大的資金投入,但涉及多個領域,2nm項目中現在投入的資金是700億日元,人民幣約合35億元。 聽上去很多,然而這些費用都不夠三星、台積電一個月的研發費用,台積電今年就算是削減了投資,全年的資本開支也有360億美元,算下來每個月是30億美元,雖然大部分是購買設備、材料的錢,但研發費也足夠超出日本的投資了。 指望這些錢就想搞2nm工藝研發以及製造,這遠遠是不夠的。 當然,日本的2nm計劃還有個更致命的問題,研發出來之後給誰用?台積電的2nm主要客戶也是蘋果,也只有蘋果這樣的客戶才有這麼極端的需求和資金,日本公司在智慧型手機上沒有這樣的需求,造出來如何讓客戶購買來收回投資呢? 來源:快科技
中芯國際已獲美國成熟工藝許可?真相來了

超越2nm工藝 豐田、索尼等日本8巨頭成立合資公司:將擺脫台積電依賴

在先進工藝方面,日本最近動作頻頻,不僅官方公布了2nm工藝量產計劃,民間企業也行動起來了,索尼、豐田等8大日本巨頭聯合成立了投資公司,計劃在2020年代末量產新一代工藝,要比2nm還要先進。 據日經新聞報導,這次成立的公司由日本東京電氣(Tokyo Electro,全球半導體設備大廠)的前社長東哲郎等人主導,吸引了日本豐田、索尼、鎧俠、NEC、軟銀、電裝等8家公司聯合投資,每家出資10億日元。 未來還希望進一步擴大投資及爭取更多的日本企業加入,這家公司希望開發新一代邏輯半導體製造技術,工藝目標是超越2nm,也就是為2nm以下節點准備的。 根據他們的計劃,這家公司預計在2020年代末建立一條生產線,而且還會從事代工業務,2030年左右開始給其他晶片企業提供代工服務。 很顯然,日本這些公司希望聯合成立一家先進的晶片製造公司,不僅可以量產2nm以下的工藝,而且還要自己代工,核心目的就是擺脫對台積電的依賴。 雙11紅包活動推薦: 來源:快科技
5nm  A14X加持 新MacBook出貨規模大台積電 無壓力

日本半導體復興 最快2025年搞定2nm工藝

在全球先進半導體工藝中,台積電、三星都有2nm工藝計劃,美國也能靠Intel實現2nm及以下的工藝,日本作為曾經的半導體第一已經沒有了先進工藝生產能力,這也是他們要努力補上的,現在要聯手美國實現目標。 今年上半年就有日本要聯合美國研發2nm工藝的消息,現在終於確定下來了,日本政府將撥款3500億日元(約合171億人民幣)與美國合作建設先進半導體研發中心。 按照計劃,這個先進研發中心最快今年底成立,日美雙方會成立合資公司,目標是在2025年到2030年之間實現2nm晶片量產。 由於日本本身並沒有2nm工藝這樣的研發能力,因此技術上還要靠美國公司,最可能的合作對象之一是IBM。 IBM雖然在幾年前退出了先進工藝製造,但技術研發實力很強,之前就全球首發展示了2nm工藝以及1nm碳納米管工藝等黑科技。 這是日本針對半導體復興的投資計劃的一部分,除了先進工藝之外,還會投資4500億日元建設先進工藝生產中心,3700億日元用於半導體材料供應。 而半導體也只是日本針對下一代科技布局的一部分,全部的計劃高達3萬億日元投資,其他內容還涉及機器人、電池等等關鍵領域。 雙11紅包活動推薦: 來源:快科技

性能暴增40% 三星2nm晶片全新技術方案定了:背面供電

在上周的SEDEX 2022,三星更新了技術路線圖,宣稱計劃2025年投產2nm晶片,2027年投產1.4nm。 其中對於2nm,三星研究員Park Byung-jae介紹了BSPDN(back side power delivery network)也就是背面供電。 該技術最早於2019年IMEC研討會上被提出,2021年IEDM的一篇論文中又做了引用。 論文稱,背面供電能解決當前正面方案(FSPDN)造成的布線堵塞問題,微觀層面,可使晶片的性能提升44%,能效提升30%。 事實上,此前Intel也介紹過在未來的更先進工藝中使用VIA,後者同樣是背面供電技術。 據悉,三星已經在6月30日投產3nm GAA(全環繞柵極電晶體)晶片,7月25日正式發貨。GAA電晶體是繼高K金屬柵極平面FET、FinFET(鰭式場效應電晶體)後的全新方案,因為三星用了納米片而不是納米線,所以還得名MBCFET(多橋通道場效應電晶體)。 來源:快科技

功耗直降30% 台積電2nm工藝好於預期:2025年量產

今天的財報會上,台積電不僅公布了Q3季度業績,同時也透露了最新的工藝進展,3nm工藝的需求已經超過了預期,明年會滿載量產,而2nm工藝也進度喜人,2025年量產。 台積電在6月份正式公布了2nm工藝,並透露了一些技術細節,相比3nm工藝,在相同功耗下,2nm速度快10~15%;相同速度下,功耗降低25~30%。 不過在電晶體密度上,2nm工藝的提升就不那麼讓人滿意了,相比3nm只提升了10%,遠低於以往至少70%的電晶體密度提升,這可能是台積電首次在2nm工藝上放棄FinFET電晶體,改用GAA電晶體所致,第一代工藝會保守一些。 根據台積電CEO魏哲家的說法,2nm工藝的進展很順利,甚至超過預期,不過他們現在的計劃依然是2025年量產,沒打算提前。 台積電的2nm工藝應該還是會由蘋果首發,今年的A16是4nm,明年的A17及後年的A18應該都是3nm,2025年的A19晶片才有可能用上2nm工藝。 來源:快科技
松下半導體業務將出售 還是持續虧損所致

26億元/台 最強、先進光刻機要來了 2024年到貨:台積電2nm工藝准備中

一台單價26億元,這是目前最強的光刻機,而最先進工藝離開它,幾乎沒辦法繼續下去。 據供應鏈最新消息,TSMC的目標是在2025年量產其2納米(nm)半導體製造工藝。台積電目前正准備加大其3nm節點的生產,這被認為是世界上最先進的晶片製造技術之一,而他們也表示將繼續通過下一代技術引領全球半導體行業。 台積電還將在2024年收購ASML的高NA EUV晶片製造機。這些細節是由台積電負責研發和技術的高級副總裁Y.J. Mii博士分享的,由聯合新聞(UDN)報導。晶片製造行業的一個關鍵制約因素,也往往成為決定一家公司是否能獲得對其競爭對手的領先優勢的關鍵因素。 涵蓋先進的7納米和更小產品的製造技術需要使用極紫外光刻機(EUV)在小范圍內列印數十億個微小電路,全球目前只有台積電、三星和Intel公司在使用。然而,晶片製造技術的進一步進步,涉及到電路尺寸的進一步縮小,將使晶片製造商難以繼續使用這些機器。 晶片製造的下一階段,製造商將轉向具有更大鏡頭的機器。這些被稱為高NA(數字孔徑),台積電將在2024年收到它們。由此看來,這些機器將被用來製造2納米製造工藝的晶片,因為這位高管還強調,這項技術將在2025年進入大規模生產。 台積電的3納米技術今年一直處於幾個爭議的中心,因為它的競爭對手三星公司搶先宣布在今年上半年進行大規模生產,而且市場報告稱,由於Intel公司的訂單問題,台積電將削減資本支出。 來源:快科技

Intel透露15代酷睿處理器架構:「2nm”時代來了

在最近的hotchips 2022大會上,Intel公布了一系列新的CPU路線圖,披露了14代酷睿Meteor Lake的小晶片組成,還有16代酷睿Lunar Lake會使用UCIe標準,現在15代酷睿Arrow Lake的細節也公布了。 Arrow Lake會是14代酷睿的接任者,預計會在2024年問世,它也會跟Meteor Lake一樣採用3D Foveros封裝,pitch間距也是3um,CPU、GPU、SoC、IOE等模塊的組成差不多,但工藝會升級。 Arrow Lake的CPU核心模塊會升級到20A工藝,是Intel 3工藝之後的繼任者,首次進入後納米時代,直接用了埃米(A代表的是Ångstrom,1納米等於10埃米),字面上等效友商的2nm工藝。 20A工藝除了EUV光刻工藝之外,還會有2大黑科技——R ibbonFET及PowerVia。 根據Intel所說,RibbonFET是Intel對Gate All Around電晶體的實現,它將成為公司自2011年率先推出FinFET以來的首個全新電晶體架構。該技術加快了電晶體開關速度,同時實現與多鰭結構相同的驅動電流,但占用的空間更小。 PowerVia是Intel獨有的、業界首個背面電能傳輸網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。 來源:快科技

2nm工藝被指擠牙膏 台積電回應:新技術太多 要省錢

6月中旬,台積電在2022年技術論壇上正式公布了3nm及2nm工藝的路線圖,其中2nm工藝會使用GAA電晶體,技術進步非常大,但是電晶體密度提升有限,只有10%,遠遠達不到正常摩爾定律疊代的要求。 對於這個問題,在昨天的台積電財報會上,聯席CEO劉德音也回應了2nm電晶體密度的問題,他指出2nm工藝不僅僅意味著晶片密度,其同時還包括新的電源線結構、新的小晶片技術,以允許我們的客戶進行更多的架構創新。 目前台積電客戶的核心需求在於電源效率,為了滿足客戶需求同時控製成本,台積電限制了2nm的整體密度。 從台積電的回應來看,2nm電晶體密度提升不大是他們刻意為之,一方面是使用的新技術更偏向節能,另一方面則是客戶的需要,要降低成本。 考慮到3nm工藝都有5個衍生版本,台積電的2nm工藝未來肯定也會有多個版本,電晶體密度提升的版本應該也會有的。 來源:快科技

功耗降低30% 台積電重申2nm工藝2025年量產

今天的Q2財報會議上,台積電除了公布當季運營數據之外,還談到了工藝進展,確認3nm工藝今年下半年量產,2nm則會在2025年量產。 目前HPC高性能計算占了台積電營收的重要部分,對先進工藝要求也是很高的,台積電的3nm工藝今年下半年量產,明年上半年貢獻營收,不過初期會拉低一些毛利率,大約2-3個點。 台積電的3nm工藝共有5個衍生版本,包括N3、N3P、N3S、N3X、N3E等等,會陸續在未來兩三年內量產。 再往後就是2nm節點了,這是台積電的有一個重大節點,會採用納米片電晶體(Nanosheet),取代FinFET(鰭式場效應電晶體),也就是進入GAA電晶體時代,不過三星在3nm節點就已經採用這個技術了。 N2相較於N3,在相同功耗下,速度快10~15%;相同速度下,功耗降低25~30%,開啟高效能新紀元。 不過密度方面擠牙膏了,相比3nm僅提升了10%,遠遠達不到摩爾定律密度翻倍的要求,比之前台積電新工藝至少70%的密度提升也差遠了。 根據台積電的信息,2nm工藝將在2024年試產,2025年量產。 來源:快科技

美國打錢補貼 Intel 200億美元的晶圓廠開工:首發「2nm」工藝

在Intel去年推出的IDM 2.0戰略中,在美國本土投資200億美元建設2座先進工藝晶圓廠是非常關鍵的一環,前幾天傳出了跳票的消息,因為美國官方的520億美元晶片補貼法案還沒通過,不過現在消息稱Intel已經得到了補貼,新工廠已經開工了。 據digitimes報導,日前傳出Intel出正式購得美國俄亥俄州新晶圓廠所需的土地,這項投資金額高達200億美元。 雖然工廠開工了,但是Intel的晶圓廠還有很多問題,美國政府補助將決定建廠規模,此前Intel表示由於政府520億美元規模的晶片法案陷入停滯,他們不得不推遲或者削減在俄亥俄州的投資規模,甚至威脅去歐洲建廠。 根據Intel之前的信息,新建的兩座晶圓廠分別會命名為Fab 52、Fab 62,並首次透露這些工廠將會在2024年量產20A工藝,這是Intel面向未來的CPU工藝,首次進入後納米時代,首發埃米級工藝,其中的A就代表埃米。 雖然工藝細節還沒公布,不過20A相當於友商的2nm工藝,還會有2大黑科技——Ribbon FET及PowerVia。 根據Intel所說,RibbonFET是Intel對Gate All Around電晶體的實現,它將成為公司自2011年率先推出FinFET以來的首個全新電晶體架構。該技術加快了電晶體開關速度,同時實現與多鰭結構相同的驅動電流,但占用的空間更小。 PowerVia是Intel獨有的、業界首個背面電能傳輸網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。 來源:快科技

3nm彎道超車台積電之後 三星2nm工藝蓄勢待發:3年後量產

在6月最後一天,三星宣布3nm工藝正式量產,這一次三星終於領先台積電率先量產新一代工藝,而且是彎道超車,後者的3nm今年下半年才會量產。 根據三星官方介紹,在3nm晶片上,其放棄了之前的FinFET架構,採用了新的GAA電晶體架構,大幅改善了晶片的功耗表現。 與5nm相比,新開發的3nm GAE工藝能夠降低45%的功耗,減少16%的面積,並同時提升23%的性能。 第二代的3nm GAP工藝可以降低50%的功耗,提升30%的性能,同時面積減少35%,效果更好。 再往後呢?三星也有了計劃,3nm GAP工藝之後就會迎來2nm GAP工藝,也是基於納米片技術的GAA電晶體,但是結構進一步優化,從3個納米片提升到4個,可以提高驅動電流,同時還會優化堆疊結構以提升性能,降低功耗。 2nm GAP工藝的量產時間也定了,預計在2025年量產,時間點跟台積電量產2nm工藝差不多,而且很可能在技術上領先後者,因為台積電的2nm工藝在電晶體密度上擠牙膏,提升只有10%。 來源:快科技

終結FinFET電晶體 台積電正式公布2nm:功耗降低30%

6月17日早間消息,台積電在今日舉辦的2022技術論壇上,首度推出下一代先進位程N2,也就是2nm。 技術指標方面,台積電披露,N2相較於N3,在相同功耗下,速度快10~15%;相同速度下,功耗降低25~30%,開啟高效能新紀元。 就縱向對比來看,2nm之於3nm的提升,似乎不如3nm之於5nm,包括但不限於性能、功耗、密度等所有核心參數。 在微觀結構上,N2採用納米片電晶體(Nanosheet),取代FinFET(鰭式場效應電晶體),外界普遍認為,納米片電晶體就是台積電版的GAAFET(環繞柵極電晶體)。 台積電還表示,N2不僅有面向移動處理器的標準工藝,還會有針對高性能運算和小晶片(Chiplet)的整合方案。 時間節奏方面,N2將於2025年量產。 另外,根據台積電最新技術路線圖,第一代3nm(N3)定於下半年量產,3nm也會比較長壽,後續還有N3E、N3P和N3X。 來源:快科技
14nm營收明年占10% 中芯國際N+1工藝年末量產 性能提升20%

聯手美國 日本3年後量產2nm晶片:不依賴台積電

在先進半導體工藝中,目前全球主要是台積電、三星及Intel,量產工藝已經到7nm、5nm及4nm節點,明年就要進入3nm節點了,而原先掌握了先進半導體工藝的日本不甘心,計劃聯合美國,在2025年量產2nm晶片。 前不久美國政府高官訪問日本時談論了雙方在半導體上的合作,其中就有2nm工藝的研發,現在據日本媒體報導,日本也制定了具體計劃,計劃在2025年量產2nm晶片。 不過這個2nm的量產計劃並沒有更詳細的信息,哪家公司負責建廠、量產2nm晶片還是個迷,三星、台積電及Intel都沒有提到過在日本量產2nm工藝的計劃。 當然,日本聯合美國研發2nm工藝的目標本身也是減少對台積電的依賴,所以台積電的可能性也可以排除。 對於美日合作2nm一事,台積電CEO之前也做過回應,表示並不擔心。 台積電稱,半導體產業的特性是不管花多少錢、用多少人,都無法模仿的,要經年累月去累積,台積電20年前技術距最先進的技術約2世代,花了20年才超越,這是堅持自主研發的結果。 台積電不會掉以輕心,研發支出會持續增加,台積電3nm製程將會是相當領先,2nm正在發展中,尋找解決方案。 來源:快科技

日本聯手美國研發2nm工藝 台積電回應:不擔心被超越

前不久美國總統訪問了日本,商討了半導體方面的合作,傳聞兩國將聯手研發新一代晶片工藝,包括2nm及以下的先進工藝,目的是擺脫對台積電的依賴,而台積電也在日前的股東會議上表示他們並不擔心被超越。 台積電CEO表示,半導體產業的特性是不管花多少錢、用多少人,都無法模仿的,要經年累月去累積,台積電20年前,技術距最先進的技術約2世代,花了20年才超越,這是堅持自主研發的結果。 台積電不會掉以輕心,研發支出會持續增加,台積電3nm製程將會是相當領先,2nm正在發展中,尋找解決方案。 對於美國及日本的合作,台積電認為不是針對他們,是保障供應鏈。 今年4月份,台積電CEO魏哲家回應了新工藝的進展問題,表示2nm工藝正在研發中,台積電有信心在2nm節點上依然保持領先地位。 至於2nm工藝的量產時間點,台積電表示該工藝會在2024年試產,2025年開始量產,可能是下半年或者年底。 來源:快科技