Home Tags EUV

Tag: EUV

ASML稱High-NA EUV光刻機已印刷首批圖案,並向新客戶交付第二台同類設備

近日ASML(阿斯麥)表示,本月將向第二位客戶交付High-NA EUV光刻機,安裝工作也即將開始。不過ASML並沒有透露,具體交付給哪一家公司。其提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 ASML還宣布,已經在其位於荷蘭費爾德霍芬的High-NA實驗室首次使用High-NA EUV光刻機印刷出10nm線寬(dense line)圖案。這是迄今為止列印出的最精細的線條,創下了EUV光刻設備新的世界紀錄。這是世界上目前僅有的兩套High-NA EUV光刻系統之一,另外一套剛剛在英特爾的Fab D1X晶圓廠完成組裝工作,正在進行校準步驟。 該演示驗證了ASML合作夥伴蔡司的創新型High-NA EUV光學設計,在光學系統、傳感器和平台完成粗調校準後,列印出突破性的圖案。這是以全規格運行的第一步,接下來將致力於讓系統達到最佳性能表現,並最終在實際生產環境中復制這一成果。 雖然ASML的客戶並不著急使用High-NA EUV光刻機進行大規模生產,但都准備在未來某個時間點引入新的製造工藝,這也是ASML對High-NA EUV光刻機的銷售前景感到樂觀的原因之一。此前有報導稱,一台High-NA EUV光刻機的價格大概為3.8億美元,是EUV(約1.83億美元)的兩倍多,ASML目前收到的訂單數量在10至20台之間。 ...

ASML公布2024Q1財報:中國市場貢獻近半營收

近日,ASML(阿斯麥)公布了2024年第一季度財報。ASML執行長Peter Wennick表示,2024年是一個過渡的年份,將繼續在產能提升和技術方面進行投資,為周期的轉變做好准備。 2024年第一季度財報顯示,該季度中淨銷售額為53億歐元(約合人民幣409.04億元),毛利率為51%,淨利潤為12億歐元(約合人民幣92.61億元)。在該季度里,淨預定量為36億歐元(約合人民幣277.84億元),其中6.56億歐元(約合人民幣38.7億元)自於EUV系統。 從ASML展示的材料來看,中國大陸是ASML最大的客戶,貢獻了49%的淨銷售額,比起2023年第四季度的39%表現更為強勁,已連續三個季度成為ASML最大市場。從1988年首台ASML設備運到中國大陸,到2023年底,ASML在中國大陸的光刻機加上量測的機台裝機量接近1400台。 與此同時,ASML也更新了股票回購計劃和股息提案。在2023年總股息為每股普通股6.10歐元,與2022年相比增長了5.2%。考慮到2023年和2024年支付了三次每股普通股1.45歐元的中期股息,向年度股東大會提議的最終股息為每股普通股1.75歐元。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約4億歐元的股票。 ASML預計2024年第一季度的淨銷售額在57億歐元到62億歐元之間,毛利率在50%到51%之間,研發成本約為10.7億歐元,SG&A成本約為2.95億歐元。Peter Wennick稱,ASML對全年的展望保持不變,預計下半年的表現會強於上半年,與行業從低迷中復蘇的趨勢一致。 ...

ASML已交付第三代EUV,可用於製造2nm晶片

最近ASML(阿斯麥)交付了第三代極紫外(EUV)光刻工具,新設備型號為Twinscan NXE:3800E,配備了0.33數值孔徑透鏡。相比於之前的Twinscan NXE:3600D,性能有了進一步的提高,可以支持未來幾年3nm及2nm晶片的製造。 在ASML看來,Twinscan NXE:3800E代表了Low-NA EUV光刻技術在性能(每小時處理的晶圓數量)和精度方面的又一次飛躍。新的光刻設備可實現每小時處理195片晶圓的處理速度,相比Twinscan NXE:3600D的160片大概提升了22%,將來有可能提高至220片。此外,新工具還提供了小於1.1nm的晶圓對准精度。 即便用於4/5nm晶片的生產,Twinscan NXE:3800E也能提升效率,讓製造商可以提高晶片生產的經濟性,實現更為高效且更具成本效益的晶片生產。更為重要的一點,是Twinscan NXE:3800E對於製造2nm晶片和後續需要雙重曝光的製造技術有更好的效果,精度的提升會讓3nm以下的製程節點受益。 Twinscan NXE:3800E光刻機的價格並不便宜,機器的復雜性和功能是以巨大的成本為代價,每台大概在1.8億美元。不過比起新一代High-NA EUV光刻機的報價,顯然還是要低很多。此前有報導稱,業界首款採用High-NA EUV光刻技術的TWINSCAN EXE:5200光刻機報價達到了3.8億美元。 ASML還會繼續推進Low-NA EUV光刻設備的開發,接下來將帶來新款Twinscan NXE:4000F,計劃在2026年發布,這凸顯了ASML對EUV製造技術的承諾。 ...

27億元 ASML公開展示高NA EUV光刻機:能造2nm以下工藝

近日,全球光刻機大廠ASML首次在其荷蘭總部向媒體公開展示了最新一代的High NA EUV光刻機。 除了已經率先獲得全球首台High NA EUV光刻機的英特爾之外,台積電和三星訂購High NA EUV預計最快2026年陸續到位,屆時High NA EUV將成為全球三大晶圓製造廠實現2nm以下先進位程大規模量產的必備“武器”。 ASML發言人Monique Mols在媒體參觀總部時表示,一套High NA EUV光刻系統的大小等同於一台雙層巴士,重量更高達150噸,相當於兩架空中客車A320客機,全套系統需要250個貨箱來裝運,裝機時間預計需要250名工程人員、歷時6個月才能安裝完成,不僅價格高昂也相當耗時。 根據此前的爆料顯示,High NA EUV的售價高達3.5億歐元一台,約合人民幣27億元。 Monique Mols解釋稱:“我們不斷進行工程設計和開發,還有大量工作要做來校準它並確保它適合製造系統。” “我們和我們的客戶也有一個陡峭的學習曲線。”預計ASML今年還將發貨“一些”(High NA EUV系統),並且在定製和安裝方面仍有工作要做。 ASML CEO Peter Wennink表示,AI需要大量運算能力和數據儲存,如果沒有ASML將無法實現,這也是公司業務一大驅動力。ASML上季收到的EUV設備訂單也創下了歷史新高。 英特爾在2023年12月已率先拿下了全球首台High NA EUV光刻機,並已經開始在英特爾俄勒岡州晶圓廠安裝。 此前外界預計該設備將會被英特爾用於其最先進的Intel 18A製程量產,不過,日前英特爾CEO基辛格(Pat...

High-NA EUV光刻機價值3.8億美元,ASML已收到10至20台訂單

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機。新設備的體積非常巨大,需要使用13個貨櫃和250個板條箱來進行運輸,將從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,另外還需要250名工程師並花費6個月完成安裝。 據相關媒體報導,ASML透露其一台High-NA EUV光刻機的價格大概為3.8億美元,是現有EUV光刻機(約1.83億美元)的兩倍多。目前ASML已從英特爾和SK海力士等公司獲得了High-NA EUV光刻機的訂單,數量在10至20台之間。與此同時,ASML計劃到2028年,每年生產20台High-NA EUV光刻機,以滿足市場的需求。 High-NA EUV光刻機是具有高數值孔徑和每小時生產超過200片晶圓的極紫外光大批量生產系統,用於製造3nm以下的晶片。其提供了0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 由於新一代光刻設備與舊款產品之間有許多不同之處,需要進行大量的基礎設施改造。英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味著大概在2026年至2027年之間開始啟用新設備。台積電(TSMC)要等到1nm級的A10工藝才會使用High-NA EUV光刻機,可能是出於對成本的考慮,也就是說要等到2030年左右。 ...

ASML揭秘全球第一台高NA EUV光刻機:解析度直達8nm

經過十年的研發,ASML 於 2023 年 12 月正式向英特爾交付了首個High NA(高數值孔徑)EUV 光刻系統——TWINSCAN EXE:5000的首批模塊, 代表著尖端晶片製造向前邁出了重要一步。 近日,ASML發布了一篇題為《關於高數值孔徑 EUV 光刻你應該了解的 5 件事》的科普文章,對於High-NA光刻系統進行了進一步的介紹。 以下為芯智訊對該文章的翻譯: 目前晶片製造商依然是依靠電晶體微縮來推動微晶片技術的進步。雖然,這並不是改進晶片的唯一方法,例如,新穎的架構、先進封裝等也可以提高性能。但摩爾定律本質上成為普遍法則是有原因的 :50 多年來,電晶體“微縮”一直是計算能力指數級增長的幕後推手。 多年來,我們一直在將深紫外 (DUV) 光刻技術 推向極限。為了減小可光刻的最小特徵的尺寸(稱為臨界尺寸 (CD)),我們可以通過調整兩個主要的參數:光的波長 λ 和數值孔徑 NA。 然而,現在我們的 DUV...

ASML公布2023Q4及全年財報:表現略高於預期,訂單積壓量減少

今天,ASML(阿斯麥)公布了2023年第四季度和全年財報。 在2023年第四季度中,淨銷售額為72億歐元(約合人民幣561.98億元),毛利率為51.4%,淨利潤為20億歐元(約合人民幣156.11億元),整體表現略高於ASML預期。在2023年第四季度里,淨預定量為92億(約合人民幣718.09億元)歐元,其中56億歐元(約合人民幣437.1億元)自於EUV系統。在該季度里,ASML沒有根據2022-2025年股票回購計劃購買任何股票。在該季度里,ASML向客戶交付了業界首台High-NA EUV光刻機。 ASML在2023年全年淨銷售額為276億歐元(約合人民幣2154.26億元),相比2022年增長了30%,毛利率為51.3%,淨利潤為78億歐元(約合人民幣608.81億元)。截至2023年末,積壓的訂單總量為390億歐元(約合人民幣3044.07億元),低於去年同期的404億歐元。 2023年總股息為每股普通股6.10歐元,與2022年相比增長了5.2%。每股普通股1.45歐元的中期股息將於2024年2月14日支付。考慮到這一中期股息以及2023年支付的兩次每股普通股1.45歐元的中期股息,提交給股東大會的最終股息建議為每股普通股1.75歐元。 ASML預計,2024年第一季度的淨銷售額在50億歐元到55億歐元之間,毛利率在48%到49%之間,研發成本約為10.7億歐元,SG&A成本約為3億歐元。ASML執行長Peter Wennick表示,半導體行業繼續走在周期的底部,客戶對今年半導體市場的復蘇仍不確定,但也有一些積極的跡象,第四季度的強勁訂單顯然支持了未來的需求。ASML對2024年保持保守看法,預計收入與2023年接近。 ...

ASML公布2023Q3財報:營收符合預期,明年屬於過渡年份

ASML(阿斯麥)公布了2023年第三季度財報,顯示該季度中淨銷售額為67億歐元(約合人民幣518.55億元),毛利率為51.9%,淨利潤為19億歐元(約合人民幣147.05億元)。 在2023年第三季度,淨預定量為26億(約合人民幣201.23億元)歐元,其中5億歐元(約合人民幣38.7億元)自於EUV系統。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約1億歐元的股票。此外,中期股息為每股普通股1.45歐元(約合人民幣11.22元),將於2023年11月10日支付。 ASML執行長Peter Wennick表示,2023年第三季度的淨銷售額處於預期范圍內的中間位置,同時毛利率51.9%高於預期,主要原因是該季度內受到DUV產品組合與部分一次性成本影響。半導體行業目前正在經歷周期的底部,今年年底應該會出現拐點,不過仍然不確定復蘇的形態,因此預計2024年屬於一個過渡年份,收入與2023年相若,為2025年的顯著增長做好准備。 ASML預計2023年第四季度的淨銷售額在67億歐元到71億歐元之間,毛利率在50%到51%之間,研發成本約為10.3億歐元,SG&A成本約為2.85億歐元。Peter Wennick稱,ASML確認了對2023年強勁增長的預期,淨銷售額增長接近30%,毛利率相對於2022年略有改善。 ...

受3nm需求低迷影響,ASML明年EUV光刻機出貨量或下跌30%

如果要推進到7nm以下的先進位程工藝,ASML(阿斯麥)的EUV(極紫外光)光刻機可以說是必不可少的製造工具。為此在過去幾年裡,與EUV相關的設備成為了眾多半導體製造商爭搶的對象,一直處於供不應求的狀態,為此ASML還提高了產量。如果要購買一台EUV光刻機,往往還需要提前數月預訂。 對於EUV光刻機的運用最為成熟的當屬台積電(TSMC),這也是其領先於其他競爭對手的關鍵,目前已推進到3nm製程節點,蘋果也在剛剛上市的iPhone 15 Pro系列機型上採用了3nm工藝製造的A17 Pro晶片,不過這並沒有帶動3nm需求上升。據Wccftech報導,由於蘋果即將到來的新款iPad和MacBook Pro等產品的需求下降,加上高通因華為新機型導致3nm晶片訂單減少、三星3nm GAA和英特爾20A工藝需求不如預期,預計2024年市場對3nm產能的需求也會隨之下降。 此前就有報導稱,過去台積電、三星和英特爾等企業瘋狂爭搶EUV設備的熱度已經降溫,為縮小先進位程差距而不斷燒錢的三星和英特爾估計也會跟進台積電的做法,砍掉部分EUV設備的訂單。同時有消息指出,台積電還要求包括ASML在內的主要晶片製造工具供應商推遲交付晶圓廠所需要的設備。 ASML的報告顯示,2023年計劃出貨60台EUV光刻機,實際上前六個月僅出貨22台。隨著2023年EUV光刻機的出貨量下降,ASML對應的營收增長幅度也由原先預計的40%下調至25%。對EUV光刻機需求減弱的趨勢也將延續到明年,分析稱2024年ASML的EUV光刻機出貨量將大幅度下跌20%至30%。 ...

無需EUV也能實現尖端製程 定向自組裝技術再度興起

可以說在過去幾十年,半導體產業在摩爾定律的推動下持續高速發展。但隨著電晶體縮放尺寸逐漸逼近物理極限,半導體工藝製程的推進也越來越困難,“摩爾定律”已死的說法被越來越多的人認同。 目前台積電、三星、英特爾等少數的尖端製程製造商,也只能依靠著越來越昂貴的EUV光刻機在艱難的推動半導體製程微縮,但是這依舊面臨著非常多的工藝上的挑戰以及成本難題。 對此,科技界也希望尋找一些新的技術路徑來改變目前的半導體製造困境,比如定向自組裝(DSA)技術。 DSA技術再度興起 嚴格來說,DSA技術並不是一項新技術。早在十年前,當半導體行業正在努力發展EUV光刻技術時,DSA技術幾乎成為了每一家決心跨過193nm(光源)光刻機限制的製造商的前沿技術路線。 這也是2012年SPIE高級光刻會議的熱門話題,應用材料公司的一位與會者稱DSA技術具有顛覆行業的潛力。 然而,與半導體行業的許多新技術一樣,DSA解決重大行業挑戰的潛力所帶來的希望和興奮很快就遇到了越來越難以克服的挑戰。缺陷控制、可擴展性和集成到現有工作流程的復雜性阻礙了進展。 隨後,DSA被大多數公司擱置,尤其是隨著EUV技術逐步被應用於生產。 但是DSA最初針對的問題,比如在當前光刻技術的限制下改進圖案的CD特徵值(光刻系統能夠放大的最小精度,CD=k1*λ/NA)過程中,並沒有消失。 此外,一些新的缺陷,如最先進的EUV節點中的隨機缺陷正在出現。Fractilia執行長Chris Mack表示,隨機性可以占大批量製造商(HVM)EUV圖案化錯誤預算總額的50%以上。 imec的研發團隊負責人Hyo Seon Suh表示:“對DSA的普遍批評是,由於結構的自組裝性質,很難控制缺陷。” “但EUV中的隨機缺陷也很難控制,這就是為什麼許多行業和我們的核心晶片製造商合作夥伴再次將DSA視為糾正隨機問題的可行選擇。” 英特爾發言人還表示,該公司目前正在開發幾種利用定向自組裝(DSA)的集成工藝流程。“我們在SPIE等會議上公開討論的一個工藝流程是使用DSA進行EUV抗蝕劑整流。 DSA可以從根本上改善EUV光刻固有的系統性和隨機性變化。使用這項技術,英特爾展示了一種DSA增強的EUV多圖案化方法,最終金屬間距為18nm,電氣性能穩健。” 其他人也表示同意。布魯爾科學公司首席技術官Rama Puligadda表示:“DSA正在回歸。”“但形式完全不同。它被用來輔助EUV,主要是用來校正線條。” 定向自組裝(DSA)通常被描述為共聚物材料自組裝以在半導體襯底上形成納米級解析度圖案的過程。 雖然這是一個准確的描述,但它並沒有提供太多細節來說明這一過程是如何完成的,以及為什麼這些共聚物材料會以這種方式表現。對這一過程進行一些簡化的解釋有助於理解DSA技術如何有利於CD解析度並為較低的製程工藝節點提供修復。 分離科學——從沙拉醬到半導體 DSA原理在大自然中隨處可見,比如我們的脂質膜到細胞結構,再到DNA能夠復制,並且一代代的遺傳下去,就是一種自然組裝技術。 天然的DSA形狀是納米級的,有規律和周期性,還很長,這就是我們在電晶體排列中所需要的,重要的是,它們能夠提供比傳統電晶體蝕刻更為精細的細節。 以下內容可能不太容易理解,所以這里先借用美國研究人員Karl Skjonnemand關於自組裝技術的TED演講視頻來便於大家理解: 正如油和醋由於其不相容的性質會分離成不同的層一樣,DSA中使用的某些聚合物表現相似,在納米尺度上。DSA通常將苯乙烯(S)單體結合形成聚苯乙烯(PS),並將甲基丙烯酸甲酯(MMA)單體組合形成聚甲基丙烯酸甲酯或PMMA。 DSA中通常使用的聚合物,如聚苯乙烯和PMMA,被設計成類似於油和醋的化學相分離。與後者不同,後者的分離是均勻的,在DSA中,這些聚合物的分離可以被仔細控制,從而產生精確的納米級結構。 △圖1:PS-b-PMMA嵌段共聚物的形態。資料來源:Semiconductor Engineering/Gregory Haley PS通常通過陰離子聚合來合成。這包括使用像鈉或鉀這樣的強鹼來形成碳負離子,碳負離子在鏈的生長中起著至關重要的作用。陰離子聚合提供的精確控制使其成為生產具有特定長度和分子量的聚合物的理想選擇。 另一方面,PMMA可以通過自由基聚合或原子轉移自由基聚合(ATRP)來合成。盡管與PS合成不同,但這兩種工藝都致力於製造具有特定特性的聚合物。這是重要的,因為DSA過程中得到的結構的形狀由這些聚合物的最終構型決定。 當使用諸如ATRP的技術合成時,PS和PMMA聚合物形成嵌段共聚物(BCP)PS-b-PMMA。PS和PMMA的嵌段在聚合物鏈內共價連接,但由於其化學差異,它們分離成不同的結構域(見圖1)。 PS也是疏水性嵌段,其中PMMA是親水性的,因此當它們形成鏈時,BCP的PS端連接,PMMA端連接,同時相互排斥。(圖2) △圖2:PS-b-PMMA BCP鏈中產生的不同結構域為半導體結構提供了基礎模板。資料來源:Semiconductor Engineering/Gregory Haley。 應該注意的是,嵌段之間的能量差越大,它們之間的偏析就越明顯,這允許更小的鏈長度和對可以形成的CD的更精細的控制。 然而,與半導體製造業的大多數事情一樣,沒有什麼是免費的。如果能量差太大,可能會導致過度的相分離,從而可能導致加工復雜性或製造過程中的缺陷。 平衡區塊之間的能量差、鏈長度和所需的域大小需要仔細優化和考慮具體應用。實現這種平衡是一項復雜的任務,需要對聚合物化學進行精確的控制和理解。 定義CD 使用DSA對矽進行圖案化有兩種有效的方法——石墨外延流和化學外延流。石墨外延流使用傳統光刻法對尺寸通常為最終CD尺寸的2倍至5倍的襯底進行構圖。用BCP填充溝槽並退火(>180°C)以誘導相分離。 溝槽的底部必須是中性的,以防止BCP粘附在基底上,同時一面牆與BCP濕結合。所得結構的CD由BCP鏈的長度定義(見圖3)。 △圖3:一個簡化的流動演示了石墨定向自組裝。資料來源:Semiconductor Engineering/Gregory Haley 另一方面,化學外延流依賴於不同的表面能來排列BCP層。在這個過程中,交聯PS的區域被施加到襯底上的中性區域旁邊。BCP中的PS與交聯的PS形成鍵,但與PMMA不形成鍵,並且中性區域不形成鍵。PS鍵為BCP流提供了錨,使相移的BCP在垂直維度上對齊(見圖4)。 △圖4:顯示化學外延DSA的簡化流程。資料來源:Semiconductor Engineering/Gregory Haley “例如,如果你使用193nm光刻工藝,它就無法形成這種間距圖案,”imec的研發團隊負責人Hyo Seon Suh說。“但我們可以製作一個更大的間距模式,並用DSA填充。這被稱為‘間距分割’。DSA使製造商可以實現只有EUV光刻機才能實現的尺寸,但無需購買EUV光刻機。” DSA的優點之一是其根據PS與PMMA的單體比例形成不同結構的靈活性。當每種聚合物的比例約為50%時,可以獲得如上圖所示的片狀圖案。 一個塊與另一個塊的比率顯著較低可以在較大塊的矩陣內形成較小塊的球體,而相同塊的比率較高可以形成圓柱形結構。這有助於提高孔的CD,甚至使孔倍增(見圖5)。 “定義結構不需要完美,”Hyo Seon Suh補充道。“我們只需要一些模式,我們可以引導局部聚合物的自組裝,從而形成干淨的模式。” △圖5:從較大的孔圖案中獲得較小尺寸孔圖案的過程。來源:imec 如上所述,這些是兩種一般類型的工藝流程的簡化示例,盡管每種工藝流程中都有多個子集,使用不同的化學品、材料和層;行動命令;以及實現期望結果的附加步驟。 石墨外延和化學外延都有其長處和短處。石墨沉澱利用成熟的工藝形成基底結構,通常需要較少的步驟才能獲得最終的CD。 然而,它要求溝槽的尺寸與鍵合對的BCP鏈長度完全匹配,任何線形圖案的不規則性都可能出現在BCP結構中。 化學外延需要更少的空間來實現類似的CD,並且在設計上提供了更多的靈活性,因為它不需要與BCP鏈長度精確匹配。 但它通常涉及更復雜和微妙的過程,包括使用特定的化學構圖材料,這可能會使控制變得更具挑戰性。化學外延也可能對表面能和聚合物-襯底相互作用的變化更敏感,如果不精確處理,可能會導致缺陷。 DSA的缺點是缺陷 將DSA從實驗室引入晶圓廠仍然主要受到缺陷控制的阻礙。盡管控制在過去三年中有了顯著改善,但缺陷仍然太高,無法滿足<1/cm2的行業標准。 DSA中常見的缺陷包括線間橋接、線塌陷、氣泡和線位錯。位錯是一個特別的挑戰,因為它們在蝕刻或圖案轉移過程中無法去除(見圖6)。 圖6:使用 DSA 的 EUV 圖案的 13nm/P28...

ASML公布2023Q2財報:DUV光刻設備訂單量激增

ASML(阿斯麥)公布了2023年第二季度財報,顯示該季度中淨銷售額為69億歐元(約合人民幣555.73億元),毛利率為51.3%,淨利潤為19億歐元(約合人民幣153.03億元)。 在2023年第二季度,淨預定量為45億(約合人民幣362.44億元)歐元,其中16億歐元(約合人民幣128.87億元)自於EUV系統。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約5億歐元的股票。此外,中期股息為每股普通股1.45歐元(約合人民幣11.68元),將於2023年8月10日支付。 ASML執行長Peter Wennick表示,2023年第二季度的淨銷售額處於預期范圍內相對高的位置,同時毛利率也高於預期,主要原因是該季度內有額外的DUV系統收入。由於宏觀經濟環境充滿持續的不確定性,不同細分市場的客戶更加謹慎,普遍預計市場將在今年晚些時候復蘇。目前ASML仍有較多的訂單積壓,總金額約為380億歐元。 ASML預計2023年第三季度的淨銷售額在65億歐元到70億歐元之間,毛利率約為50%,研發成本約為10億歐元,SG&A成本約為2.85億歐元。Peter Wennick稱,由於DUV系統收入強勁,盡管面臨較多不確定性,但ASML預計2023年的業績仍能實現強勁增長,淨銷售額將增長30%,毛利率將相對於2022年會略有改善。 ...

EUV光刻機日耗電3萬度 台積電為電費上漲發愁:一年多花26億

快科技4月21日消息,台積電日前發布了Q1季度財報,營收167.2億美元,同比減少4.8%、環比減少16.1%,是3年來首次同比收入下滑,意味著牛市已經過去了。 更嚴重的是,台積電預計今年的營收會下滑1-6%,這將是2009年以來台積電首次營收下滑。 讓台積電發愁的不只是客戶需求減少,同時還有成本增加,從4月份開始還多了一個負擔,那就是電費漲價了,電力公司台電將漲價17%。 僅僅是這一點,就會對台積電的盈利造成很大影響,該公司預估Q2季度毛利率將會下滑,影響0.6個百分點,全年毛利率將影響0.5個百分點。 台積電去年營收758億美元,按照這個基數來算,今年僅電費就要額外多花3.8億美元,約合人民幣26億元。 當然,相比台積電50%+的毛利率,這點成本其實並不算夸張,只是台積電不想接受漲價罷了。 半導體製造行業是一個高耗電及高耗水的產業,一個重要原因就是光刻機,新一代的EUV光刻機更是夸張,功率達到了100萬瓦,是上一代的10倍左右,每天耗電3萬度,而台積電去年就差不多80台EUV光刻機了,耗電量可想而知。 據統計,台積電2021年耗電量總計191.9億度,占全島用電量的7.2%,未來隨著3nm、2nm工藝的量產,耗電量還會繼續提升,因為新一代EUV光刻機使用越來越多,一座工廠一年就可能上百億度電了,遠高於現在規模。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

10億一台的EUV光刻機也賣不動了 台積電40%訂單被砍:苦日子還有三年

快科技4月17日消息,隨著PC、手機等行業需求下滑,半導體行業自從2022年下半年開始牛熊周期轉換,台積電Q1季度業務業績罕見低於預期,現在ASML的EUV光刻機也賣不動了,台積電被曝砍單40%訂單。 EUV光刻機是7nm以下工藝必不可少的核心設備,全球只有荷蘭ASML公司能夠生產,售價高達10億,下一代EUV光刻機會更會漲價到25億以上,但是隨著這一輪熊市的調整,台積電、三星等公司搶購EUV的熱度已經下去了。 來自供應鏈的消息稱,ASML這幾年最大的客戶台積電要大砍2024年的EUV光刻機訂單,多達40%的光刻機會取消或者延期交付,不過台積電表示對市場傳聞不予評論。 台積電強調未來一年的晶圓廠建設以美日為主,本土的先進工藝擴產全面放緩,砍單效應會在今年下半年啟動,進入驗收階段的設備還會按照計劃進行,還沒有執行的訂單及半成品則會取消或者延期交付。 此前台積電表示下半年半導體市場會反彈,甚至台積電還在積極推動新一輪漲價,然而2023下半年的半導體市場恐怕依然不如預期,砍單效應會在2024年持續發生。 這一輪調整過程要持續兩三年,預計台積電等客戶重啟擴產計劃要等到2026年,ASML等半導體設備廠商才有可能恢復增長。 來源:快科技

EUV設備熱度降低,傳ASML首度遭遇砍單

如果有關心半導體工藝方面的信息,相信對EUV(極紫外光)並不會感到陌生,如果要推進到7nm或更先進的工藝製程,可以說ASML(阿斯麥)的EUV設備是必不可少的工具。在過去幾年里,EUV設備成為了眾多半導體製造商爭搶的對象,一直處於供不應求的狀態,為此ASML還提高了產量。 根據ASML去年公布的計劃,2025年至2026年的年產能將提高到90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。 此前有報導稱,由於半導體行情反轉、存儲器產業陷入困境、以及政策限制等影響,近期台積電(TSMC)已減緩其產品擴張計劃,使得全球半導體設備材料供應鏈如坐針氈。據Digitimes報導,前十大設備廠中,已有多家對2024年的業績展望趨於保守,目前已提前開始進行削減成本的計劃。 有些讓人意想不到的是,一直產能跟不上訂單節奏的ASML也開始受到了影響,其最大的客戶台積電開始砍掉部分EUV設備的訂單,有傳言稱比例達到40%,並將出貨時間延後,這也讓ASML明年的營收承受了更大的壓力。預計2023年下半年起,全球半導體設備銷售所受到的影響會逐漸浮現,大機率會低於預期,客戶減單效應將於2024年逐步顯現。 過去台積電、三星和英特爾等企業瘋狂爭搶EUV設備的熱度已經降溫,為縮小先進位程差距而不斷燒錢的三星和英特爾估計也會跟進台積電的做法。從長遠來看,ASML依然獨占EUV設備市場,最遲會在2026年半導體市況全面復蘇後,再恢復增長。 ...

顛覆EUV光刻?不讓ASML獨美

ASML 的 EUV 光刻工具很貴。每個 EUV 工具現在接近 1.7 億美元,但您還是將其中的許多工具用於領先的半導體工廠。未來,每個 High-NA EUV 工具的成本將超過 3.5 億美元。 此外,這些晶圓廠還需要許多 DUV 光刻工具。每個人都想要一種更具成本效益的方式來圖案化晶片,因為僅光刻就消耗了 3nm 工藝節點成本的約 35%。 想像一下,如果有辦法打破這種趨勢。 上周,世界第二大半導體設備製造商應用材料公司宣布他們有一個潛在的解決方案。 該解決方案就是 Centura Sculpta 工具,這是一種可以執行新工藝步驟“pattern shaping”的新工具。 根據 Applied Materials...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

全球只有5家客戶 單價超過10億 ASML今年將出貨60台EUV光刻機

隨著半導體工藝進入到5nm節點以內,對EUV光刻機的需求也不斷增長,目前全球只有ASML一家公司能夠生產EUV光刻機,今年的出貨量還會進一步提升。 當然,EUV這樣的光刻機主要用於先進工藝,所以全球有需求也有能力購買EUV光刻機的晶片製造商也不多,ASML CEO日前在采訪中提到他們在全球有5家EUV光刻機客戶。 雖然沒有提到具體的名單,但是台積電、三星、Intel這三家是沒跑的,他們的邏輯工藝現在都是要用到EUV光刻機的。 還有2家應該是記憶體晶片廠商了,三星這部分已經在14nm DRAM記憶體上使用EUV光刻了,SK海力士也跟進了,美光之前的表態相對保守一些,但遲早也會上EUV光刻機來生產記憶體晶片。 雖然只有5家客戶,但是最近幾年對EUV光刻機的需求提升很快,ASML預計今年會出貨60台EUV光刻機,而DUV光刻機達到375台,數量依然遠高於EUV。 畢竟EUV光刻機售價昂貴,單價在1.5到2億美元,人民幣超過10億元。 來源:快科技

長谷川23年4月 再販 1/72 VF-19A SVF-440 無頭騎士部隊 Dullahans」 w/ Fast Pack & High...

【VF-19A 「SVF-440 デュラハンズ」 w/ファストパック & ハイマニューバミサイル】4月20日ごろ 再販予定価格:4,290円(稅込) ​​​ 來源:78動漫
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

EUV光刻機研發難在哪?ASML:數百家頂級供應商 40年時間

在半導體設備中,光刻機是核心設備,決定了工藝的先進程度,EUV是當前最先進的光刻機,可以製造7nm以下的工藝,全球僅有荷蘭ASML公司能夠生產,單價將近10億元,下一代EUV甚至超過25億元。 研發EUV光刻機到底有多難?全球還有別的公司可以製造出來嗎?對於這樣的疑問,ASML公司日前在財報會議上談到了EUV的難點。 ASML表示,就ASML而言,它由數百個供應商組成,每個供應商在什麼方面都是世界級的。 只要提到通快、蔡司和VDSL的名字,他們的工作就是世界級的,這只是上百家供應商中的三個。 研發光刻機需要的不止是專利,它的訣竅是人,是大腦,ASML表示這些花了40年的時間。 物理學規律在全球都是一樣的,但是ASML做到這一步有著數百家公司積累的專業知識,他們作為系統集成商做出了光刻機。 來源:快科技

EUV替代品?日本要靠新一代光刻機逆襲

經過八年的研發和有限銷售,佳能正准備為市場量產納米壓印光刻晶片製造設備。 佳能正在東京以北的栃木縣宇都宮建廠,估計耗資 500 億日元(約合 3.66 億美元)。 該工廠將用於製造 KrF 和 i-line 光刻機,還將用於增加納米壓印光刻 (NIL) 機的產量。計劃於 2025 年開始運營。 KrF 和 i-line 光刻是成熟的技術,但它們仍被廣泛用於定義眾多 IC 類型、MEMS 和平板顯示器。 NIL 也可用於這些寬松的幾何形狀,但可以在 10 納米及以下定義更精細的結構尺寸。 佳能董事長兼執行長御手洗富士夫在伴隨佳能 22 財年第...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

有多貴?ASML新EUV光刻機單台硬體造價:向頂級戰機看齊節奏

都知道光刻機單台成本非常的貴,但是你知道有多貴嗎? 一台數億美元的光刻機讓我們看到了一款硬體設備的價格極限,然而,ASML CEO Peter Wennink最新接受媒體采訪時透露,他們正在全力研製劃時代的新光刻機high-NA EUV設備,而高NA EUV光刻機系統的單台造價將在25億元(單台造價在3億到3.5億歐元之間,約合人民幣21.95到25.61億元)。 這個價格什麼概念,資料顯示重型航母(排水量60000噸以上)航母造價是35億美金左右,而上述光刻機成本等同於f35戰鬥機造價(1.5-2.5億美元)。 盡管如此昂貴,但Intel此前表示自己是全球第一個下單的客戶,台積電也跟進了。高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。 按照ASML的說法,高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。該公司還預計其營收將在2025年翻一番。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML新一代高NA EUV光刻機造價2500億:Intel一年賺的錢不夠買一台

荷蘭ASML(阿斯麥)正在全力研製劃時代的新光刻機high-NA EUV設備。 所謂high-NA即高數值孔徑,從當前的0.33提升到0.55,從而允許更緊密的電路圖案(2nm及以下)和更高的生產效率。 據elec報導,周二在韓國首爾,ASML CEO Peter Wennink透露,高NA EUV光刻機系統的單台造價將在300億到350億歐元之間,約合人民幣2195到2561億元。 這是什麼概念? ASML目前在售的雙工件台EUV光刻機不過數億美元,此前有調侃說相當於一架F22/35戰機。而下一代產品不僅身價上調百倍,別說戰機,這麼一大筆錢“造航母”都夠了。 在舉個簡單的例子,2021財年Intel的淨利潤總額是199億美元,換言之,Intel忙活一年掙的錢,買一台高NA EUV光刻機都不夠…… 不過,此前Intel表示自己是全球第一個下單的客戶,台積電也跟進了,三星和SK海力士則尚未表態。 按照ASML的說法,高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。該公司還預計其營收將在2025年翻一番。 來源:快科技

EUV光刻太貴了:替代技術正加快速度轉正

隨著晶片法案宣布將補貼美國半導體研發和製造500多億美元,人們對晶片製造技術的基本現狀產生了極大的興趣。 目前,三星5納米工藝(指定為5LPE)就是向全球市場提供先進晶片製造技術的其中之一,這代表了三星finFET技術取得了重大突破,未來勢必會更進一步,以更低的成本在晶片上放置更多的電晶體,同時提供更高的性能。 在晶片上刻出超細特徵所需的先進光刻技術是實現這些進步的主要推動者。 半導體光刻技術的起源與發展 光刻是半導體工業的核心技術。自1960年Fairchild Semiconductor的羅伯特·諾伊斯發明單片集成電路以來,光刻一直是主要的光刻技術。 光刻技術本質上是,掩膜版用於對光刻膠進行圖案化,從而實現圖案化沉積和蝕刻工藝。光刻工藝的最終解析度由所用光源的波長決定。 在短波長光刻源的開發方面取得的進展,使得以摩爾定律為特徵的電路密度不斷增加。在過去光刻所需光源是Mercury discharged lamps,例如365nm時期採用的i-Line,但最近KrF為248nm或ArF為193nm的準分子雷射器成為了首選光源。 採用浸潤式光刻技術,需要將透鏡和晶片浸沒在折射率比空氣高的水中,由此ArF雷射器獲得的最終解析度約為50nm。 過去二十年,193nm波長的光刻技術得到了發展。雖然使用F2準分子雷射的157nm光刻技術取得了一些突破,但人們主要關注的還是使用13.5nm軟X射線作為光源的極紫外(EUV)光刻技術。 荷蘭ASML在EUV技術的研發中發揮了主導作用,目前其EUV設備主要被包括英特爾、三星和台積電在內的先進CMOS代工廠用於生產。 實踐中的光刻方法 許多光刻方法被應用於製造單個晶片設計,TechInsights最近對三星5LPE工藝進行了詳細分析。圖2顯示了CPU邏輯區域中柵極和鰭片布局的平面圖TEM圖像。 圖2 三星 5LPE Gate和Fin Layout 自對准四重構圖(SAQP)幾乎可以肯定地用於對鰭片進行構圖,鰭片心軸的大致位置如圖所示,該心軸本應使用ArF 193 nm浸沒(ArF 193i)光刻法進行圖案化,通過在心軸上形成側壁間隔件,進而形成最終的鰭片圖案。 心軸的間距為108納米,然後將心軸移除,使用第一側壁間隔物圖案來創建第二組側壁間隔件,最終給出27nm的鰭片間距。 兩組側壁間隔物的大致位置和尺寸如圖3所示,這是一張橫截面TEM圖像,顯示了邏輯區域中三星5LPE工藝的27nm間距鰭片結構。 圖3 三星 5LPE Fin Cross Section 使用有源鰭片切割掩膜去除不需要的鰭片,並用淺溝槽隔離(STI)代替它們。圖2所示的金屬柵極很可能是使用自對准雙圖案化(SADP)技術形成的,其中心軸上的側壁間隔物直接用於圖案化多晶矽柵極,再用金屬柵極取代。 目前正在製造的先進半導體器件的尺寸明顯小於用ArF浸沒光刻法獲得的約50 nm最小半間距,這就需要開發越來越復雜的工藝技術。例如,根據最近TechInsights分析的結果,三星5 nm LPE工藝使用了多種先進的光刻方法,包括EUV. SAQP光刻技術可以產生非常精細的間距特徵,但僅限於創建單向定向的單軸結構,且線路末端需要特殊的切割掩膜,以防止相鄰線路之間短路。EUV光刻沒有這些限制,但成本更高。 圖4顯示了三星5LPE設備CPU邏輯區中金屬0布局的平面圖TEM顯微照片,觀察到的最小金屬間距約為44nm。此外,布局包括在兩個正交方向上定向的線,如果使用SADP或SAQP ArF 193i光刻方法,通常不可能產生這種情況。 圖4 三星5LP Metal 0...

ASML公布2022Q3財報:訂單積壓超過380億歐元,新增訂單金額再創新高

ASML(阿斯麥)公布了2022年第三季度財報,顯示該季度中淨銷售額為58億歐元(約合人民幣405.92億元),毛利率為51.8%,淨利潤為17億歐元(約合人民幣118.98億元)。 ASML執行長Peter Wennick表示,2022年第三季度的淨銷售額和毛利率高於預期,新增訂單金額達到了89億歐元(約合人民幣622.88億元),創下了歷史新高,其中38億歐元來自於EUV系統的訂單,包括了High-NA系統。雖然受到了通貨膨脹、消費者信心和經濟衰退風險等全球宏觀經濟因素的影響,市場充滿了不確定性,細分市場也存在動態和分化,但客戶的整體需求依然強勁。 數據顯示,ASML在2022年第三季度銷售了80台全新的光刻機,還有6台二手的光刻機,包括了12台EUV設備(與第二季度一致)和74台DUV設備(低於第二季度的79台)。目前ASML訂單積壓的情況仍較為嚴重,包括100多台EUV光刻機和大約600多台DUV光刻機,總金額超過了380億歐元(約合人民幣2659.51億元,高於第二季度的330億歐元)。 在2022年第三季度中,ASML收到了TWINSCAN EXE:5200系統的新訂單,來自於當前EUV光刻機的客戶,此前該客戶並沒有訂購過這類設備。新的EUV系統將提供0.55數值孔徑,雖然ASML沒有透露具體客戶的名稱,但從過往的消息來看,已下單的廠商包括了台積電、英特爾、三星和SK海力士。 ASML預計2022年第四季度的淨銷售額在61億歐元到66億歐元之間,毛利率約為49%,全年淨銷售額大概在211億歐元,毛利率接近50%。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

Intel承認在EUV光刻上犯錯:當年太自信

最近幾年,台積電及三星在半導體工藝上超越了Intel,後者在14nm節點之前都是全球最先進的半導體公司,然而在10nm節點面臨各種困難,給了對手可乘之機。 Intel在這個過程中是如何被超越的?CEO基辛格日前接受了采訪,特別提到了Intel在EUV光刻工藝上的選擇錯誤。 在EUV技術研發上,Intel是全球重要推手,ASML研發EUV光刻機也得到了Intel的不少幫助,但是Intel在10nm節點沒有選擇EUV光刻,而是嘗試了新的SAQP四重曝光技術,它們的目標是不依賴EUV光刻機也能生產先進工藝。 基辛格表示,當初這個目標是很好的,然而SAQP曝光工藝非常復雜,成本高,隨著時間的推移,Intel站在了EUV錯誤的一邊,基辛格表示當時應該至少有一個並行的EUV戰略才對。 基辛格所說的這個事其實就是過去幾年中Intel在10nm工藝上多次跳票的關鍵,這兩年才算是搞定了10nm工藝的量產,現在改名為Intel 7工藝。 至於EUV工藝,Intel現在也重視起來了,跟ASML的合作很好,今年底量產的Intel 4工藝就是Intel首個EUV工藝,用於首發量產14代酷睿Meteor Lake,明年上市。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML拚命研製的新款高NA EUV光刻機:或是最後一代

ASML一直處於高度戒備狀態。 去年,該公司兩次提高了生產目標:它希望到 2025 年出貨約 600 台 DUV 和 90 台 EUV 光刻機,而去年分別為不到 200 台和 35 台。 ASML很典型的一周是,數百人在 Veldhoven 開始他們的新工作。由於持續的晶片短缺,交付問題每天都在發生。更不用說像今年年初柏林工廠發生火災這樣的挫折,導致 EUV 晶圓夾具的生產暫時癱瘓。 盡管如此,Martin van den Brink(編者註:ASML首席技術官)還是很滿足和放鬆的。EUV 光刻機的生產力還沒有達到客戶的預期,但幾年來,EUV 光刻機已經成為世界上最先進晶片的生產過程中不可或缺的一部分。 “經過比計劃多十年的努力,這讓我鬆了一口氣。”Martin...
直逼1nm工藝 ASML下一代EUV光刻機延期 至少2025年

日耗電3萬度 EUV光刻機要關機省電?台積電回應了

此前有消息稱由於先進工藝產能過剩,台積電計劃今年底將部分EUV光刻機關機,以便節省電費支出,台積電日前也回應了,表示不評論市場傳聞。 台積電稱,本公司針對機台設備皆有年度規劃,並依循計劃,在不影響正常營運的前提下,進行例行維護與升級。 上周來自產業鏈的消息人士手機晶片達人的消息稱,由於先進位程產能利用率開始下滑,而且評估之後下滑時間會持續一段周期,台積電計劃從年底開始,將部分EUV 設備關機,以節省EUV設備巨大的耗電支出。 據了解台積電目前擁有大約80台EUV光刻機,主要用於7nm、5nm及以下的先進工藝,今年9月份還會量產3nm工藝,都需要EUV光刻機,然而隨著PC、手機、顯卡等產品的需求下滑,先進工藝生產的晶片勢必會受到影響。 相比之前的DUV光刻機,EUV光刻機需要使用高能雷射器,而且光線會多次折射導致損耗極大,早期效率只有0.02%,現在量產的說是可以達到2%效率,但也意味著絕大多數電力都要消耗掉。 EUV光刻機生產一天需要3萬度電左右,一年耗電大約1000萬度,是十足的電老虎。 來源:快科技

ASML EUV光刻機被批「電老虎」:功耗100萬瓦 是上代10倍

當前,7nm以及更先進晶片製造有賴於ASML的EUV光刻機才能實現,最新款單價高達1.5億美元,包含10萬個部件和長達2公里的布線。 這麼一台設備除了價格昂貴、零件繁多、造型龐大等,耗電能力同樣不容小覷。 據媒體披露,當前ASML最新一代EUV光刻機的額定功耗是100萬瓦,約是前幾代設備的10倍。僅台積電就擁有超過80台EUV光刻機,粗算之下,開機一天的耗電量高達上百萬度。 類似地,三星在韓國的6個半導體製造基地去年的總能耗占到集團的3%,未來更多EUV光刻機進廠部署後,這個數字還可能繼續提高。 對於晶片製造行業的高耗能問題,業內認為,切換能源類型勢在必行,也就是讓可再生能源發電的比例提高。 來源:快科技

2nm晶片研發遭遇瓶頸:沒ASML下一代EUV光刻機搞不掂

在業內,比Intel、台積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。 因為離得近,ASML的原型試做機,往往在完工後就第一時間送交IMEC評估嘗鮮。 日前,IMEC執行長Luc Van den hove在公開路線圖時表示,當前的EUV光刻設備其實可以響應到2nm的微縮水平,不過,想要超越,必須要靠下一代高NA EUV光刻機。 他督促ASML在未來3年內,全力投產高NA光刻機。 所謂高NA也就是光刻機的透鏡和反射鏡數值孔徑達到0.55,進而增加光刻解析度,以便制備更精密的為電路圖像。當前的EUV光刻機均停留在0.33的水平。 一切順利的話,ASML會在明年推出其首款高NA EUV光刻機,Intel、三星和台積電都爭相第一時間部署進廠,其中Intel下手最快。 這款光刻機價值高達4億美元(約合26億元人民幣),組裝好的體積有雙層巴士大、重超200噸。 來源:快科技

ASML公布2022Q2財報:客戶需求依然強勁,新增訂單金額創新高

ASML(阿斯麥)公布了2022年第二季度財報,顯示該季度中淨銷售額為54.31億歐元(約合人民幣373.67億元),毛利率為49.1%,淨利潤為14.11億歐元(約合人民幣97.08億元)。 ASML執行長Peter Wennick表示,2022年第二季度的財報顯示客戶的需求依然強勁,新增訂單金額達到了85億歐元(約合人民幣584.83億元),創下了歷史新高,其中54億歐元(約合人民幣371.54億元)來自0.33NA和0.55NA EUV系統訂單以及大量的DUV訂單。為了滿足客戶的產能擴張計劃,ASML將在今年剩餘時間內加快出貨速度,提高計劃的出貨數量。 在DUV業務方面,ASML交付了第一套NXT KrF系統,即TWINSCAN NXT:870,可實現27%的吞吐量增長;在應用業務方面,ASML在客戶現場內完成了第一套eScan1100多電子束系統的安裝,客戶目前正對其進行評估;在EUV High-NA業務上,目前已收到供應商提供的首個High-NA投影光學模組和照明模組以及全新的晶圓台,將用於EXE:5000項目的初始測試和集成中。 根據2021年至2013年的股票回購計劃,ASML在2022年第二季度購買了大概12億歐元(約合人民幣82.56億元)的股票,該計劃的詳細信息以及與之相關的交易均發布在其官網上。同時ASML按照修訂後的股息政策,將按季度支付股息,從2022年8月12日支付中期股息開始,即每股普通股1.37歐元(約合人民幣9.43億元)。 ASML預計2022年第三季度的淨銷售額在51億歐元到54億歐元之間,毛利率在49%到50%之間,全年淨銷售額增長約10%。 ...

單價26億元 ASML下一代EUV光刻機啟動:核心部件到貨

荷蘭ASML公司今天發布了2022年第二季度財報,當季淨銷售額為54.31億歐元,好於市場預期的52.6億歐元,上年同期為40.20億歐元,同比增長35%。 毛利潤為26.65億歐元,上一季度為17.31億歐元,上年同期為20.45億歐元;毛利率為49.1%,上一季度為49.0%。淨利潤為14.11億歐元,上年同期為10.38億歐元,同比增長36%。 Q2新增訂單金額為84.61億歐元,其中包括54億歐元的EUV訂單,較上一季度的新增訂單金額69.77億歐元環比增長21%。 本季度中,ASML公司出貨了12台EUV光刻機,比Q1季度的3台大幅增長,之前因為種種原因限制了出貨。 值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得了重大突破,已經收到了來自供應商的物鏡、光源及工作檯,這也是光刻機的三大核心部件,關繫著光刻機的正常運行。 根據ASML的消息,相比目前NA孔徑只有0.33的光刻機,下一代的EUV光刻機NA孔徑提升到0.55,有望進一步提高晶片工藝,是製造2nm及以下工藝的關鍵設備。 高NA孔徑的EUV光刻機價格也會大幅上漲,相比目前單台10億元的價格,下一代光刻機價格要暴漲到4億美元,人民幣26億元以上,原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 Intel是第一個下單新一代EUV光刻機的,首批4台應該都是他們的,不過三星及台積電也下單了,後面也會角逐新一代工藝。 來源:快科技

ASML新一代EUV光刻機上路:單價超26億

EDA和光刻機可以說是晶片研發、生產設備皇冠上的明珠。 最新消息稱,荷蘭ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。 原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 在ASML公布的今年一季度財報中,EXE:5200已經訂出去不止一台。按計劃,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 據了解,實際上,ASML的EUV光刻機非常龐大,現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 來源:快科技

半導體製造設備交付需等待18個月,多重因素疊加影響產能提升

近兩年來,半導體供應鏈上出現了各種短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張,這需要大量采購生產設備。此前已有報導稱,由於市場需求旺盛,作為全球最大的光刻機製造商的阿斯麥(ASML)即便再提速,其光刻機年產量仍落後於半導體製造商提出的采購要求,需要在目前基礎上提高50%才能滿足市場的需求。 事實上,現階段半導體產能擴張已經十分困難,半導體製造設備的生產出現了瓶頸。據TomsHardware報導,目前半導體設備製造商已告知客戶,新訂單的平均等待時間約為18個月。ASML稱,從鏡塊、閥門、泵到微控制器、工程塑料等各種零配件的供應都遇到了困難,將影響設備的交付時間。顯然,半導體製造設備交付延遲,最終會影響半導體供應鏈。Unimicron表示,基板的交貨時間已經從2021年的12個月到18個月,增加到了目前的30個月。 除了半導體製造設備的生產,半導體行業缺乏足夠專業人士應付現階段的產能擴張,這不但影響了供應,還導致原有計劃延誤。據悉,台積電部分晶圓廠的建設,就因缺乏足夠工作人員而面臨延遲。此外,也間接導致了業內挖角機率的增加,促使像英特爾這樣的業內巨頭花更多的錢吸引和留住技術人才,對於中小型企業而言,想尋求發展的阻力就更大了。 雖然半導體製造商都投入巨資,旨在緩解供應鏈問題,並確保未來幾年的可持續增長,但仍會受制於各方面的因素,無法滿足各方面產品的所有要求,最終只能將有限的產能用於利潤率較高的產品中。隨著時間的推移,半導體行業持續的供應問題將逐漸在消費市場上顯現,從定價到供應等各方面,比如新產品發布後要經過很長時間才會降價。 ...

ASML工廠探秘:3億美元一台的EUV光刻機首次亮相

當地時間3月23日, 美國消費者新聞與商業頻道(CNBC)發布了針對全球光刻機龍頭ASML的采訪視頻,不僅展示了ASML的EUV光刻機工廠,還展示了ASML新一代高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。 一、光刻機為何如此重要? 近年來,隨著全球數位化、智能化進程的加速,全球對於半導體的需求呈現快速增長的態勢。 根據半導體研究機構IC Insights 預計,2021年全球半導體產值躍升至6140億美元,同比大漲25%。2022年全球半導體產值有望達6806億美元規模,同比將增長11%,創歷史新高紀錄。 面對旺盛的半導體需求,目前全球的主要的半導體製造商也在紛紛擴大產能,由此也推升了對於半導體製造設備需求增長。 根據SEMI的預測數據顯示,預計2021年原始設備製造商的半導體製造設備全球銷售總額將達到1030億美元的新高,比2020年的710億美元的歷史記錄增長44.7%。預計2022年全球半導體製造設備市場總額將擴大到1140億美元。 半導體製造設備可以分為前道設備和後道設備。其中,前道製造設備主要包括光刻機、塗膠顯影設備、刻蝕機、去膠機、薄膜沉積設備、清洗機、CMP設備、離子注入機、熱處理設備、量測設備;後道製造設備主要包括減薄機、劃片機、裝片機、引線鍵合機、測試機、分選機、探針台等。 有統計數據顯示,光刻工藝是晶圓製造過程中占用時間比最大的步驟,約占晶圓製造總時長的40%-50%。可以說,如果沒有光刻機,晶片便無法製造。 如果以各類晶圓製造設備在產線當中的投資額占比來看,光刻機也是目前晶圓製造產線中成本最高的半導體設備,約占晶圓生產線設備總成本的27%。 目前能夠製造7nm以下先進位程的EUV光刻機,一台售價約2億美元,只有ASML一家能夠供應,且產能有限。 可以製造2nm先進位程的ASML的新一代高數值孔徑 (High-NA) EUV光刻機EXE:5500的售價將更是高達3億美元。 二、光刻機市場的霸主是如何煉成的? 1、誕生 ASML的前身是荷蘭電子巨頭飛利浦的光刻設備研發部門,曾在1973年成功研發出了新型光刻設備(PAS2000的原型),在整體性能研發方面取得一定成功,但由於成本高昂,且存在一系列技術問題,未能最終推出。 同時,由於其他設備商在解決接觸式光刻機的缺陷問題上用不同的技術路逕取得了突破,飛利浦一度計劃要關停光刻設備研發部門。 不過,隨後另一家半導體設備廠商ASMI希望與飛利浦合作開發生產光刻機,於是在1984年,雙方分別出資約210萬美元成立了ASML。 自2013年起擔任ASML的執行長的彼得·溫寧克(Peter Wennink),雖然早在1999年就加入了ASML,但那已是在ASML成立的15年後。 n △ASML最初的辦公地點 「那時ASML經濟困難,沒有資金,我們很窮。因為飛利浦公司太大了,沒有人看重這個小公司,他們試圖做一些瘋狂的事情,所以他們忽略了我們。」溫寧克說到。 △彼得·溫寧克(Peter Wennink) 在當時的光刻機市場,ASML也還只是一個「無名小卒」。 資料顯示,當時市場主要被美國GCA和日本的尼康所占據,二者分別占據了約30%的市場,Ultratech占比約10%,剩下的市場則被Eaton、P&E、佳能、日立等廠商瓜分,不過他們的份額均不到5%。 2、發展 盡管如此,在成立的第一年,ASML成功地推出了首款步進式光刻機PAS2000(基於1973年推出光刻設備的進一步完善,飛利浦最初的210萬美注資中有180萬美元就是用尚未研發完成的PAS2000充當的)。 不過,PAS2000採用的是油壓驅動,技術落後於當時的同行。 △PAS2000 1986年,ASML改進了對准系統,推出了PAS2500/10步進型光刻機,同時與德國鏡頭製造商卡爾蔡司(Carl Zeiss)建立了穩定的合作關系。 1988年,ASML跟隨飛利浦在台灣的合資流片工廠台積電開拓了亞洲業務,彼時,剛剛成立不久的台積電為ASML帶來了急需的17台光刻機訂單,使得ASML的國際化拓展初見成功。與台積電的深度合作,也為此後ASML的高速發展奠定了基礎。 當時,ASML在美國有五個辦事處,共有84名員工,並在荷蘭維爾多芬(Veldhoven)設立了一個新的據點,最終成為該公司的總部。 1990年左右,ASML推出PAS5500系列光刻機,這一設計超前的8英寸光刻機,其採用了模塊化設計的光刻系統,可以在同一平台上生產多代先進IC。 該平台的完全模塊化設計使晶片製造商能夠隨著技術需求的增加升級系統,並具有業界領先的生產效率和精度,成為了ASML當時扭轉局勢的重要產品。 △PAS5500 PAS5500不僅為ASML帶來台積電、三星和現代等關鍵客戶,憑借PAS5500的優勢持續獲得客戶的認可,也為ASML帶來了市占率的持續提升和豐厚的盈利。到1994年時,ASML在全球光刻機市場的市占率已經提升至18%。 1995年,ASML分別在阿姆斯特丹及紐約納斯達克上市。ASML利用上市募集的資金開始進一步加大研發投入並擴大生產規模,擴建了位於荷蘭埃因霍溫的廠房,現已成為ASML的總部。 3、超越 如果說PAS5500的成功,讓ASML成功在光刻機市場有了重要的一席之地,那麼ASML在浸沒式光刻技術上的成功,則一舉擊敗尼康等頭部光刻機廠商,成為全球光刻機市場的龍頭老大。 在2000年之前,光刻設備中一直採用的是乾式光刻技術,雖然鏡頭和光源等一直在改進,但始終難以將光刻光源的193nm(DUV,深紫外光)波長縮短到157nm,從而進一步提升光刻機的解析度。 直到2002年,時任台積電研發副總的林本堅博士提出了一個簡單解決辦法:放棄突破157nm,退回到技術成熟的193nm,把透鏡和矽片之間的介質從空氣換成水,由於水對193nm光的折射率高達1.44,那麼波長可縮短為193/1.44=134nm,從而可以大幅提升光刻解析度。 從以下公式可以看到,光刻解析度(R)主要由三個因數決定,分別是光的波長(λ)、鏡頭半孔徑角的正弦值(sinθ)、折射率(n)以及係數k1有關。 在光源波長及k1不變的情況下,要想提升解析度,則需要提升n或者sinθ值。由於sinθ與鏡頭有關,提升需要很大的成本,目前sinθ已經提升到0.93,已很難再提升,而且其不可能大於1,所以提升n就顯得更為現實。 因此,在原有的193nm光刻機系統當中增加浸沒單元,利用超純水替換透鏡和晶圓表面之間的空氣間隙(水在193nm波長時的折射率n=1.44,空氣為1),使得光源進入後波長縮短,從而提升光刻解析度。 基於與台積電的長期深度合作,以及希望通過彎道超車來對尼康等走乾式光刻技術路線的頭部光機廠商的趕超,ASML當時選擇了與台積電合作,走浸沒式光刻路線,在2003年開發出了首台浸沒式光刻機樣機TWINSCAN AT:1150i,成功將90nm製程提升到65nm。 2006年,ASML首台量產的浸入式設備TWINSCAN XT:1700i發布。2007年,AMSL又推出了首個193nm的浸沒式系統TWINSCAN XT:1900i。 相對於走乾式157nm光刻機路線進行疊代研發的尼康等廠商來說,ASML 193nm浸沒式光刻機由於是基於原有的成熟的平台進行改進,不僅成本更低、優化升級更迅速,而且精度更高,良率也更高,受到了客戶的普遍歡迎。這也使得ASML通過浸沒式光刻機成功實現了技術及市場的雙重領先。 雖然尼康後期也開始轉向浸沒式光刻系統,但是由於時間進度上的大幅落後,也導致了其難以在浸沒式光刻系統上實現對ASML的追趕,此後開始迅速走向沒落。 4、稱霸 使用193nm ArF光源的乾式光刻,其可以生產的半導體工藝節點可達45/40nm,而進一步採用浸沒式光刻、配合比較激進的可製造性設計(DfM)等技術後,可以生產28nm工藝節點的晶片。而要在193nm浸沒式光刻的基礎上,進入到更高端製程,就必須採用多重曝光,但其半導體工藝製程也只能達到7nm左右的極限。 雖然193nm浸沒式光刻技術解決了此前乾式光刻技術面臨的光刻光源的波長難以進一步縮短的問題,但是隨著工藝製程的繼續推進,要想繼續提升光刻解析度,如果不能進一步縮短光源波長,就必須採用多重曝光, 然而使用多重曝光會帶來兩大新問題:一是光刻加掩膜的成本上升,而且影響良率,多一次工藝步驟就是多一次良率的降低;二是工藝的循環周期延長,因為多重曝光不但增加曝光次數,而且增加刻蝕(ETCH)和機械研磨(CMP)工藝次數等。同時,即便採用了多重曝光,對於193nm浸沒式光刻機來說,製造7nm工藝節點的晶片也已經是極限。 所以,如果要推動半導體製程繼續往5nm及以下走,最為直接的方法就是採用新的波長為13.5nm的EUV(極紫外光)作為曝光光源(僅是193nm的1/14),不僅可以使得光刻的解析度大幅提升,同時也不再需要多重曝光,一次就能曝出想要的精細圖形,而且也不需要浸沒系統,沒有超純水和晶圓接觸,在產品生產周期、OPC的復雜程度、工藝控制、良率等方面的優勢明顯。 得益於通過193nm浸沒式光刻機系統在市場大獲成功,成為全球領先光刻機廠商之後,ASML很快又投入了全新的EUV光刻機的研發。 2010年,ASML首次發售概念性的EUV光刻系統NXW:3100,從而開啟EUV光刻系統的新時代。 但是EUV光刻機的研發不僅耗資巨大,即使研發成功,其單價也是高的驚人(單台售價超過1億美元),僅有少數晶圓製造商能夠負擔的起(目前全球也僅有5家廠商在用EUV光刻機),主要給ASML帶來了巨大的壓力。 為了繼續推動EUV光刻系統的研發,2012年ASML提出「客戶聯合投資專案」(Customer Co-Investment Program), 獲得其主要客戶英特爾、台積電、三星這三大全球晶圓製造巨頭的支持,ASML以23%的股權從這三家客戶那里共籌得53億歐元資金,以投入EUV光刻系統的研發和量產。 2013年,ASML發售第二代EUV系統NXE:3300B,但是精度與效率不具備10nm以下製程的生產效益;2015年ASML又推出第三代EUV系統NXE:3350。 2016年,第一批面向製造的EUV系統NXE:3400B開始批量發售,NXE:3400B的光學與機電系統的技術有所突破,極紫外光源的波長縮短至13nm,每小時處理晶圓125片,或每天可1500片;連續4周的平均生產良率可達80%,兼具高生產率與高精度。 2019年推出的NXE:3400C更是將產能提高到每小時處理晶圓175片。目前,ASML在售的EUV光刻機包括NXE:3300B、NXE:3400C兩種機型。 據ASML介紹,對於EUV光刻機的研發,ASML總計花了90億美元的研發投入和17年的研究,才最終獲得了成功。 憑借著英特爾、台積電、三星著三大頭部客戶的強力支持,再加上ASML自身在EUV光刻領域的持續研發投入,以及在EUV光刻設備上游的關鍵器件和技術領域的多筆收購及投資布局,使得ASML多年來一直是全球EUV光刻機市場的唯一供應商。 1997年,英特爾牽頭創辦了EUV LLC聯盟,隨後ASML作為唯一的光刻設備生產商加入聯盟,共享研究成果。 1999年6月,ASML收購MicroUnity Systems Engineering Inc. 業務部JMaskTools,使得公司在先進技術節點方面可以提供最完整的解決方案,改善了公司光刻機的掃描和成像能力,顯著增加了聚集深度,擴大了光刻窗口,提高了晶片產量。 2001年5月完成對Silicon Valley...

光刻機或成為晶片產量提升的主要瓶頸,ASML表示產能需提高50%才能滿足市場

近兩年來,晶片短缺成為了熱門話題。由於半導體供應鏈上的短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張。這也衍生出另外一個問題,即用於提高產能的半導體生產工具產量是否能滿足需求。 阿斯麥(ASML)是全球最大的光刻機製造商,而光刻機是製造晶片的核心設備。ASML在45nm以下工藝製程的光刻機市場占據了85%的份額,在EUV(極紫外光刻技術)光刻機領域則處於絕對壟斷地位,市場占有率100%,這也是推進5nm/7nm工藝節點的關鍵。即便是DUV(深紫外線光科技術)光刻機,也能滿足現階段不斷增長的8英寸晶圓的生產需要。 近日ASML執行長Peter Wennick接受了媒體的采訪,表示已為晶片短缺盡了最大的努力,但ASML光刻機的年產量仍落後於半導體製造商提出的采購要求。Peter Wennick估計,ASML每年的光刻機產量需要在目前基礎上提高50%才能滿足市場的需求,考慮到這種精密設備的復雜性,幾乎不可能做到。 ASML在2021年交付了286台光刻機,與2020年相比增加了50台,也就是說年產量提高了18%,但距離50%的目標還差很遠。事實上,這家總部位於荷蘭的半導體行業巨頭,未來兩年都很可能成為行業發展的瓶頸。 英特爾CEO帕特-基爾辛格(Pat Gelsinger)很清楚ASML要提高光刻機產量的難度,所以直接與Peter Wennick聯系,以求更准確地預留興建或擴建工廠的緩沖時間,以便於采購ASML的光刻機能夠在入駐工廠的時間安排上更加契合,能夠及時投產。為了減少中間過程浪費不必要的時間,其他半導體製造商投資擴建產能的時候,可能也會選擇先搭建好工廠的框架,然後等待生產設備入駐。 Peter Wennick表示,ASML正在與供應商合作,試圖進一步提高產量,然而能做的也就那麼多了。在ASML的700多家供應商中,有200家被認為是關鍵供應商,需要每一家供應商同時增加產量,ASML才有可能提高光刻機的產量。由於光刻機里面使用的某些材料和組件極其復雜,有些部分想提高產量可能需要幾年的時間才能實現。 其中一個例子是Carl Zeiss,這是ASML的其中一個重要的供應商,生產用於光刻機的鏡塊,負責的是ASML的機器中最復雜的工程部件之一。Carl Zeiss必須要增加生產量,ASML才有可能提高光刻機的製造規模。Carl Zeiss要擴大生產規模,首先要投資用於擴建生產設施和潔淨室,然後聘請專業的技術人員。即便做到了這些,復雜鏡塊模組的整個製造過程還需要長達一年左右的時間。 雖然現階段半導體的投資規模很大,行業發展非常紅火,但僅僅依靠資本並不能解決所有的問題。半導體設備製造商需要應對的是世界上最復雜、最全球化的供應鏈之一,而且還很容易受到國際形勢和各種爭端的影響。事實上,ASML及其供應商在不過度擴張的情況下,穩定地增加產量已經不容易了。 ...

下代EUV光刻機要來了 炬光科技:是ASML核心供應商的重要供應商

1月25日消息,針對投資提問ASML的新一代光刻機EXE:5200是否使用了炬光科技的產品,炬光科技回應稱公司是ASML公司核心供應商A公司的重要供應商。 炬光科技表示,公司為半導體光刻應用領域提供光刻機曝光系統中的核心雷射光學元器件光場勻化器,是荷蘭ASML光學設備核心供應商A公司的重要供應商。相關商用情況請以官方披露為准。 上周的Q4財報會議上,ASML公司確認將推出下一代的高NA EUV光刻機,NA值從0.33提升到0.55,進一步提高光刻解析度,是製造2nm及以下工藝的關鍵設備。 Q4季度中,ASML公司還新增了71億歐元的新訂單,其中就有一套NA 0.55高數值孔徑EUV光刻機的銷售,這是ASML下一代光刻機,訂購這個光刻機的是Intel,據說成本高達3億美元,約合19億元。 4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

新一代EUV光刻機要爆發了

隨著先進位程晶片上量(包括邏輯晶片和存儲器),晶片製造端的高技術含量規模也在不斷擴大,其中,最具代表性的就是EUV光刻機,市場對其需求在未來幾年將大幅增加。 ASML預期今年EUV設備出貨量有望達到50台,這已經是一個非常可觀的數字了,即使如此,仍然供不應求。 隨著邏輯晶片及DRAM製程的演進,單片晶圓EUV曝光光罩層數正在快速提升,其中先進邏輯製程晶圓2021年EUV曝光層數平均已超過10層,2023年將超過20層。 據ASML預估,月產能達4.5萬片的7nm~3nm製程12吋晶圓廠,單片晶圓EUV光罩層數介於10~20層,EUV光刻機安裝數量達9~18台;月產能達10萬片DRAM廠,單片晶圓EUV光罩層數介於1~6層,EUV光刻機安裝數量達2~9台。 這些將大量催生對EUV曝光設備的需求量,2025年之前的EUV光刻機需求將逐年創下新紀錄。 需求側不斷提升 目前,對EUV設備需求量最大的晶片廠商包括英特爾、台積電、三星和SK海力士,未來幾年,這四巨頭對EUV的需求將持續增加。 顯然,先進位程晶片龍頭台積電對EUV光刻機的需求量最大,可以與英特爾做一下比較,到2023年,預計台積電共擁有133台EUV光刻機,而英特爾為20台。 目前,台積電占行業EUV設備安裝基礎和晶圓產量的一半,並計劃通過最先進的3nm和2nm晶圓廠擴大產能。 近幾年,台積電一直在提升EUV設備采購數量,今年下半年以來,其5nm產能全開,包括蘋果A15應用處理器及M1X/M2電腦處理器、聯發科及高通新款5G手機晶片、AMD的Zen 4架構電腦及伺服器處理器等將陸續導入量產。 為了維持技術領先,台積電由5nm優化後的4nm將在明年進入量產,全新3nm也將在明年下半年導入量產,EUV需求量可見一斑。 自2018年以來,ASML增加了EUV光刻機的產量,生產了約75台,據說台積電購買了其中的60%。 三星方面,其晶圓代工和先進位程DRAM都需要EUV光刻機,而且數量逐年遞增,僅次於台積電。據統計,三星目前擁有25台EUV設備,數量約為台積電的一半。 為了獲得更多的EUV設備,2020年10月,三星領導人、副董事長李在鎔飛到ASML總部,商討穩定采購EUV設備,據說訂購了大約20台。一台的價格超過200億韓元(1.77 億美元)。 根據三星2019年4月宣布的 Vision 2030,該公司計劃總投資133萬億韓元,希望成為全球頂級晶圓代工企業。該公司每年花費10萬億韓元來開發晶片代工技術並購買必要的設備,特別是EUV光刻機,以追趕手台積電。 再來看一下英特爾,前些年,該公司認為EUV工藝不夠成熟,現在EUV光刻工藝已經量產幾年了,英特爾開始跟進,其新推出的Intel 4製程將全面導入EUV光刻機,之後的Intel 3、Intel 20A工藝會持續導入EUV。 2025年之後,該公司的製程工藝規劃到了Intel 18A,將使用第二代RibbonFET電晶體,EUV光刻機也會有一次重大升級,為此,英特爾表示將部署下一代High-NA EUV,有望率先獲得業界第一台High-NA EUV光刻機。 目前,該公司正與ASML密切合作,確保這一行業突破性技術取得成功,超越當前一代EUV。 NA表示數值孔徑,從目前的最高值為0.33,今後將提升到0.5,據悉,ASML的NXE:5000系列將實現這樣的性能,之前預計是在2023年問世,現在推遲到了2025年,單台售價預計將超過3億美元。 以上談的是邏輯晶片的生產,在存儲器方面,特別是DRAM,三星和 SK 海力士現在都在其DRAM生產中使用EUV設備,美光則表示計劃從2024年開始將EUV應用於其DRAM生產。 供給側跟進 隨著EUV光刻技術變得越來越重要,ASML的優勢也越發明顯。不過,光刻機供貨商除ASML之外,還有日本廠商尼康(Nikon)和佳能(Canon),這兩家在深紫外線(DUV,光源波長比EUV長)的光刻技術上能與ASML競爭,但ASML作為企業龍頭,在DUV光刻領域,也擁有62%的市場份額。 目前,雖然只有ASML一家能生產EUV光刻機,但由於其技術過於復雜,也需要與業內的半導體設備廠商和科研機構合作,才能生產出未來需要的更先進EUV設備。 例如,不久前,東京電子(TEL)宣布,向imec-ASML聯合高 NA EUV 研究實驗室推出其領先的塗布機,該設備將與 ASML 的下一代高NA EUV光刻系統NXE:5000 集成。 與傳統的 EUV 光刻相比,高 NA EUV...

ASML介紹新一代高NA EUV光刻機:晶片縮小1.7倍、密度增加2.9倍

按照業內預判,2025年前後半導體在微縮層面將進入埃米尺度(Å,angstrom,1埃 = 0.1納米),其中2025對應A14(14Å=1.4納米)。 除了新電晶體結構、2D材料,還有很關鍵的一環就是High NA(高數值孔徑)EUV光刻機。根據ASML(阿斯麥)透露的最新信息,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 Gartner分析師Alan Priestley稱,0.55NA下一代EUV光刻機單價將翻番到3億美元。 那麼這麼貴的機器,到底能實現什麼呢? ASML發言人向媒體介紹,更高的光刻解析度將允許晶片縮小1.7倍、同時密度增加2.9倍。未來比3nm更先進的工藝,將極度依賴高NA EUV光刻機。 當然,ASML並不能獨立做出高NA EUV光刻機,還需要德國蔡司以及日本光刻膠塗布等重要廠商的支持。 ASML現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 去年ASML僅僅賣了31台EUV光刻機,今年數量提升到超100台。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

別羨慕EUV了 佳能26年前的二手光刻機被哄搶:漲價17倍

這一年來半導體行業產能緊張,晶片漲價,上游的晶圓廠也不得不提升產能,光刻機是最關鍵的生產設備之一了。很多人只關注到了ASML的EUV光刻機,售價10億都不愁賣,不過市場上還有大量二手設備,這一次也因為缺貨而漲價,佳能26年前的二手光刻機漲價17倍。 據報導,佳能在1995年推出了光刻機FPA3000i4,現在早就談不上先進了,但沒想到二手設備價格大漲,2014年售價不過10萬美元一台,現在要170萬美元,漲價17倍之多。 從官網查詢來看,佳能的FPA3000i4光刻機適用於4到8英寸的晶圓,光刻機解析度不過0.35um而已,也就是350nm,26年前的古董為什麼還這麼值錢? 這還是跟當前的半導體市場畸形有關,市場上缺貨的晶片並不都是先進工藝的,成熟工藝的占了一半多,而且這些晶片往往不需要先進的設備,二手設備更便宜。 還有一點,半導體設備企業的產能現在也很緊張,所以訂購最新的設備往往需要很長的交付期,至少半年以上才能拿到,很多晶圓廠需要盡快提高產能,等不及新設備了。 來源:快科技
日本牽頭 2nm hCFET晶體管浮出水面

每小時曝光160片晶圓 ASML新款EUV光刻機創記錄:賣瘋了

今日晚間,ASML發布2021年第三季度財報,EUV光刻機的出貨量和營收都刷新紀錄。 財報顯示,ASML 2021年第三季度淨銷售額為52億歐元,淨利潤為17億歐元,毛利率達到51.7%,新增訂單金額62億歐元。 ASML 預計2021年第四季度營收約為49億~52億歐元,毛利率約51%~52%。 產品和業務摘要 : EUV(極紫外光)光刻業務:本季 EUV系統的出貨量和營收都刷新紀錄。最新款的NXE:3600D EUV 光刻系統在客戶的生產線上創下了每小時曝光160片晶圓的記錄。 DUV (深紫外光) 光刻業務 : 15年前 (2006年),ASML第一台支持晶片量產的浸潤式光刻系統上市。到本季,達成出貨1000台ArF浸潤式光刻系統的里程碑。 ASML總裁兼執行長 Peter Wennink 表示:「我們第三季的營收達到52億歐元,毛利率達到51.7%,皆符合預期。第三季的新增訂單金額達到62億歐元,其中29億歐元來自 EUV 系統訂單。客戶對於光刻系統的需求仍在高點,主因是數位化轉型和晶片短缺帶動市場對於記憶體和邏輯晶片的需求。」 「我們預期2021年第四季的營收約為49億歐元到52億歐元,毛利率約51%~52%,研發成本約6.7億歐元,銷售及管理費用約1.95億歐元,有望實現全年營收增長35%的目標。」Peter Wennink 說。 來源:快科技

阿斯麥的新一代EUV光刻機:造價1.5億美元 公共汽車大小

9月2日消息,荷蘭阿斯麥的新一代極紫外(EUV)光刻機每台有公共汽車大小,造價1.5億美元。其前所未有的精度可以讓晶片上的元件尺寸在未來幾年繼續縮小。在位於美國康乃狄克州郊區的一間大型潔淨室里,工程師們已經開始為一台機器製造關鍵部件,這台機器有望讓晶片製造行業沿著摩爾定律至少再走上10年時間。 這台極紫外光刻機是由荷蘭阿斯麥公司製造的。阿斯麥於2017年推出世界上第一台量產的極紫外光刻機,在晶片製造領域發揮著至關重要的作用,已經被用於製造iPhone手機晶片以及人工智慧處理器等最先進的晶片。阿斯麥正在康乃狄克州的威爾頓製造下一代極紫外光刻機的部分組件,其使用新技術來最小化紫外線波長,從而盡可能縮小所製造的晶片元件尺寸,最終提高整個晶片的性能。 新一代極紫外光刻機大約有一輛公共汽車那麼大,造價1.5億美元。整個機器包含10萬個部件和2公里長的電纜。每台機器發貨需要40個貨櫃、3架貨機或者20輛卡車。只有諸如台積電、三星和英特爾等少數公司能買得起這種機器。 「這真是一台不可思議的機器,」麻省理工學院研究新型電晶體架構的教授Jesús del Alamo說。「這絕對是一款革命性的產品,是一項突破,將給晶片行業帶來新的生命。」 在康乃狄克州的工廠里,工程師們將一塊巨大鋁材雕刻成框架,最終讓光罩以納米級的精度在其間移動,反射極紫外光束。這些光束利用幾面鏡子來回反射,以驚人精度反復修飾打磨,在矽片上蝕刻出只有幾十個原子大小的特徵圖案。 造好的組件將於2021年底運往荷蘭維荷芬,然後在2022年初安裝到新一代極紫外光刻機的第一台原型機中。英特爾可能會使用這種新機器製造出第一批晶片。英特爾表示,預計將在2023年下線第一批晶片。憑借比以往任何機器所蝕刻的圖案尺寸更小,讓每個晶片都有數百億個元件,這台機器在未來幾年所生產的晶片應該是史上處理速度最快、效率最高的。 總之,阿斯麥新一代極紫外光刻機有望延續晶片製造以及整個科技行業不斷進步的理念,繼續讓摩爾定律保持活力。 1965年,電子工程師、英特爾創始人之一戈登·摩爾(Gordon Moore)在行業雜誌《電子學》35周年特刊上發表了一篇文章。摩爾在文章中指出,單一矽晶片上的元件數量每年大約翻一番,他預計這一趨勢將繼續下去。 十年後,摩爾將他的預計從一年改為兩年。近年來,盡管製造技術的不斷突破和晶片設計的不斷創新保持著這種勢頭,但摩爾定律的發展依舊受到了質疑。 極紫外光刻機使用特殊的工程技術來縮小用於製造晶片的光波長,這應該有助於延續摩爾定律的趨勢。這種光刻技術對於製造更先進的智慧型手機以及雲計算機器,還有人工智慧、生物技術和機器人等新興技術的發展都至關重要。「摩爾定律的消亡被過分誇大了,」Jesús del Alamo說。「我認為這仍將持續相當長一段時間。」 喬治敦大學研究晶片製造的研究分析師威爾·亨特(Will Hunt)表示:「沒有阿斯麥的機器,就不可能製造出先進晶片。」「很多東西都要經過年復一年的調整和試驗,而這些都是非常困難的。」 他說,極紫外光刻機的每個部件都「極其復雜,復雜得令人吃驚」。 製造晶片通常需要一些世界上最先進的工程技術。晶片最初是一個圓柱形的矽晶體,其先是被切成薄片,然後薄片再塗上一層光敏材料,反復暴露在已經設定好圖案的光束下。沒有被光接觸的矽部分被化學反應蝕刻掉,從而繪制出晶片元件的復雜細節。然後每塊晶片被切成許多單獨的晶片。 目前而言,不斷縮小晶片元件尺寸仍然是從一塊矽片中擠出更多計算能力的最可靠方法,因為晶片上封裝的電子元件越多,計算能力就越高。 晶片架構和元件設計方面的許多創新也使摩爾定律得以延續。例如今年5月份,IBM展示了一種新型電晶體,像絲帶一樣夾在矽片內部,可以在不降低光刻解析度的情況下將更多元件封裝到晶片中。 但是,從20世紀60年代開始,有效縮短製造晶片的光束波長有助於推動晶片元件小型化,這對晶片性能提升至關重要。先是使用可見光的機器被使用近紫外線的機器所取代,而近紫外線的機器又讓位於使用深紫外線的系統,以便在矽片上蝕刻出更小的圖案特徵。 20世紀90年代,英特爾、摩托羅拉、AMD等公司開始合作研究極紫外線,並將其作為新一代光刻技術。阿斯麥於1999年加入進來,努力開發第一台極紫外光刻機。與之前的深紫外線光刻技術(193納米)相比,極紫外光刻技術的光束波長更短,只有13.5納米。 但人類解決工程上的挑戰花了幾十年時間。如何產生極紫外光本身就是一個大問題。阿斯麥的方法是將高功率雷射以每秒50000次的速度轟擊錫滴,產生強度足夠高的極紫外光。普通鏡片也會吸收極紫外光,因此極紫外光刻機使用塗有特殊材料的精確鏡面代替。在阿斯麥極紫外光刻機內部,極紫外光在穿過光罩之前會經過幾面鏡子的反射,而光罩則以納米級的精度移動,為的是對齊矽片上的不同層。 「說實話,沒有人真的想用極紫外光,」行業研究公司Real World Technologies晶片分析師大衛·坎特(David Kanter)說。「它比原計劃晚了20年,超出預算10倍。但如果你想製造非常緻密的結構,它是你唯一的工具。」 阿斯麥新一代極紫外光刻機採用更大的數值孔徑來進一步縮小晶片上的元件尺寸。這種方式允許光線以不同角度穿過光罩,從而增加圖案成像的解析度。這就需要更大的鏡子和新的軟硬體來精確控制組件蝕刻。阿斯麥當前一代極紫外光刻機可以製造出解析度為13納米的晶片。新一代極紫外光刻機將使用更高數值孔徑來製作8納米大小的特徵圖案。 目前台積電在晶片製造過程中使用的就是極紫外光刻技術。其客戶包括蘋果、英偉達和英特爾。英特爾在採用極紫外光刻技術方面進展緩慢,結果落後於競爭對手,因此最近決定將部分生產外包給台積電。 阿斯麥似乎並不認為其光刻機會落後。 「我不喜歡談論摩爾定律的終結,我喜歡談論摩爾定律的幻象,」阿斯麥首席技術官馬丁·范登·布林克(Martin van den Brink)表示。 范登布林克指出,摩爾1965年發表的那篇文章實際上更關注創新進程,而不僅僅是晶片元件尺寸的縮小。盡管范登布林克預計至少在未來10年里,高數值孔徑極紫外光刻技術將繼續推動晶片行業的進步,但他認為使用光刻技術縮小晶片元件尺寸會變得沒有那麼重要。 范登布林克說,阿斯麥已經開始研究極紫外光刻的後繼技術,包括電子束和納米壓印光刻,但目前尚未發現任何一種技術足夠可靠,值得投入大量資金。他預測,在考慮熱穩定性和物理干擾的同時,加快光刻機產量將有助於提高晶片產量。即使晶片速度沒有變得更快,這種方法也會讓最先進的晶片更便宜更普及。 范登布林克補充說,包括在晶片上縱向製造元件的製造技術應該會繼續提高晶片性能。英特爾和其他公司已經開始這樣做了。台積電執行董事長劉德音曾表示,未來20年晶片的綜合性能和效率每年能提高三倍。 主要挑戰在於全世界對更快晶片的需求不太可能下降。普渡大學教授馬克·倫德斯特倫(Mark Lundstrom)早在20世紀70年代開始在晶片行業工作,他在2003年為《科學》雜誌撰寫了一篇文章,預言摩爾定律將在10年內達到物理極限。他說:「在我的職業生涯中,我們曾多次想,『好吧,這就結束了。』」「但在未來10年內,沒有任何放緩的危險。我們只是在另闢蹊徑。」 倫德斯特羅姆還記得他第一次參加微晶片會議是在1975年。「有個叫戈登·摩爾的傢伙在做演講,」他回憶道。「他在技術社區中很有名,但其他人都不認識他。」 「我還記得他的演講,」倫德斯特倫補充道。「摩爾說,『我們很快就能在一塊晶片上安裝1萬個電晶體』。他還說,『當一個晶片上有了1萬個電晶體,人們有什麼不能做呢?』」 來源:cnBeta