Home Tags Samsung

Tag: Samsung

Galaxy S24 FE仍在計劃里,三星或今年晚些時候發布

三星在去年帶來了Galaxy S23 FE,從市場的反應來看比較一般,並沒有想像中受歡迎。還有傳言稱,可能會取消掉Galaxy S24 FE。不過三星現在更希望推出更多型號擴充產品線,加入更為實惠的產品,包括智慧型手機、平板電腦和可穿戴設備等。 據Wccftech報導,在經歷了掙扎以後,三星似乎已經下定決心,給予Galaxy FE系列產品一個更穩定的未來,而開發代號「R12」的Galaxy S24 FE已經提上了日程。暫時還不清楚新機型的細節,傳聞硬體主要是建立在Galaxy S23系列基礎上,然後再結合Galaxy S24系列的一些設計。 按照三星的安排,Galaxy S24 FE大概會在今年10月左右發布,不過有消息稱,有可能延期到11月。 三星是在去年10月發布了Galaxy S23 FE,外觀上沿用了Galaxy S23的設計,採用鋁制邊框和玻璃後蓋,機身支持IP68防塵防水,提供了「晴雲白」、「山岩灰」、「湖泊綠」和「漿果紫」四種配色。 Galaxy S23 FE提供了第一代驍龍8和Exynos 2200兩個版本;配備了一塊6.4英寸的第二代動態AMOLED直屏,峰值亮度可達1450尼特,最高對比度為2000000:1,最高支持120Hz刷新率,螢幕支持Vision Booster功能;採用了5000萬像素主攝廣角鏡頭(OIS,F 1.8,三星S23同款傳感器)+1200萬像素超廣角鏡頭(F2.2)+800萬像素長焦鏡頭(OIS,F 2.4,最高支持三倍光學變焦及30倍數碼變焦)+1000萬像素前置鏡頭(F 2.4);搭載了4500mAh電池,支持最高25W有限快充,支持無線充電功能。 ...

三星和SK海力士競爭升級:爭奪下一代AI半導體市場主導權

在進入人工智慧(AI)時代後,兩大存儲器生產廠商三星和SK海力士的競爭不斷升級。雙方都在努力通過加快新產品的開發和批量生產,以搶奪市場先機,爭奪下一代人工智慧半導體市場的主導權。隨著英特爾的加入,全球半導體戰線正在擴大。 據Business Korea報導,近期三星和SK海力士都公布了下一代半導體計劃,問題的關鍵是:誰能首先將新產品推向市場?要知道SK海力士因為在HBM3上搶得先手,去年幾乎壟斷了英偉達HBM3訂單,在存儲器市場低迷時期以最快的速度扭轉了頹勢。 今年1月,SK海力士開始量產第五代HBM產品,也就是8層堆疊的HBM3E,使其在競爭中處於優勢位置。三星則計劃今年上半年,帶來8層堆疊的HBM3E。不過三星在12層堆疊的HBM3E上扳回一城,於今年2月率先宣布開發成功,暫時處於領先位置,並計劃今年晚些時候量產,並開始向英偉達供貨。反觀SK海力士,目前其12層堆疊的HBM3E剛剛向英偉達交付樣品,比起三星稍微落後了一些。 至於第六代HBM產品,即HBM4,三星和SK海力士的競爭就更激烈了。三星的HBM4計劃明年亮相,2026年開始進入批量生產,將提供8/12/16層堆疊的產品。SK海力士同樣計劃在2026年實現HBM4的批量生產,為此還與台積電(TSMC)展開合作,利用全球第一晶圓代工廠的先進技術。當然,三星也有自己的優勢,是唯一擁有完整生產、代工、封裝流程的半導體企業,具備為客戶提供可定製化HBM解決方案的能力。 三星和SK海力士的競爭還擴展到了DRAM領域,前者近期推出了速率為10.7 Gbps的LPDDR5X,超過了SK海力士去年速率為9.6 Gbps的LPDDR5T。三星還計劃今年底量產第六代10nm級別的DRAM,明年生產第七代10nm級別的DRAM。SK海力士則計劃在今年第三季度量產第六代10nm級別的DRAM,比三星要更早一些。去年SK海力士憑借更先進的工藝,以及更早取得英特爾新平台的驗證,通過新款DDR5內存獲得不少收益。 此外,隨著人工智慧應用的擴大,在存儲器上加入計算功能也是未來的發展方向之一,此前三星和SK海力士都已展示過相關的產品。 ...

Exynos 2500能效或超第四代驍龍8,是三星首款採用第二代3nm工藝的SoC

此前有報導稱,三星大機率在Galaxy S25系列上維持雙平台的策略,分別提供第四代驍龍8和Exynos 2500版本,不過暫時還不清楚定位最高的Galaxy S25 Ultra是否僅配備第四代驍龍8。傳聞三星正在對Exynos 2500進行測試,有著不錯的性能表現,CPU和GPU都能輕松戰勝高通第三代驍龍8。 據Wccftech報導,Exynos 2500將是三星首款採用第二代3nm工藝的智慧型手機SoC,很可能與Exynos 2400一樣,選擇應用扇出型晶圓級封裝(FoWLP),不但減小了封裝的尺寸,而且能更好地控制晶片發熱,以提供更強的多核性能表現,並延長設備的續航時間。得益於更先進的工藝,Exynos 2500可以在相同功耗水平下運行在更高的頻率。 今年三星將帶來第二代3nm工藝技術,也就是SF3(3GAP),使用「第二代多橋-通道場效應電晶體(MBCFET)」,已經在試產。三星對第二代3nm工藝技術期望很高,功耗、性能和面積(PPA)指標甚至能與台積電N3P工藝相媲美,與之前的4nm FinFET工藝相比,能效和密度有著20%至30%的提升。 不過三星還需要面對良品率的問題,過去幾年裡,三星先進位程工藝在良品率上都不是那麼理想,要知道2022年6月量產的第一代3nm工藝技術,也就是SF3E(3nm GAA,3GAE),最初的良品率一直徘徊在10%至20%之間,經過長時間努力才在近期提升兩倍以上。 有消息稱,高通在第四代驍龍8上遇到了功耗和發熱問題,製造商需要為智慧型手機配備更大容量的電池。如果三星能解決Exynos 2500生產上的問題,或許能效上比起第四代驍龍8會有更好的表現。 ...

三星HBM4計劃2025年首次亮相:將有16層堆疊,改用3D封裝

近年來,人工智慧(AI)、高性能計算(HPC)和PC一直在推動高性能DRAM產品的研發,市場對HBM類DRAM的需求也在迅速增長,各大廠商也加大了這方面的投入。目前HBM市場主要由三星、SK海力士和美光三家存儲器製造商占有,根據統計機構的數據,SK海力士占據了50%的市場份額,三星以40%緊隨其後,剩下的10%屬於美光。 今天三星發表了一篇采訪文章,受訪對象是三星電子產品規劃辦公室高級副總裁Kim Kyung-ryun和三星電子HBM的DRAM開發辦公室高級副總裁Jae-Yoon Yoon,其中介紹了三星在HBM的開發情況。 三星再次重申了HBM4正在開發當中,將於2025年首次亮相。不過三星並沒有公布其HBM4的代號,之前的HBM3和HBM3E的代號分別是「Icebolt」和「Shinebolt」。目前三星提供的最頂級HBM產品是今年2月推出的12層堆疊HBM3E,擁有36GB容量,數據傳輸速率達到了9.8 Gbps,提供了高達1280GB/s的帶寬,比起之前的8層堆棧產品均提高了50%,是迄今為止帶寬和容量最高的HBM產品。 據三星介紹,隨著硬體的多功能性變得更加重要,HBM4在設計上也會針對不用的服務應用進行優化,計劃通過統一核心晶片、多樣化封裝和基礎晶片(比如8/12/16層堆疊)來應對。為了解決功耗牆的問題,首個創新將從使用邏輯工藝的基礎晶片開始,隨後是第二個創新,從當前2.5D HBM逐步發展到3D HBM,最後預計會出現第三次創新,比如HBM-PIM,也就是具備計算功能的內存半導體技術,這點之前三星已經有過介紹。 之前還有傳言稱,下一代HBM4在設計會有重大的變化,內存堆棧將採用2048位接口,三星還會引入針對高溫熱特性優化的非導電粘合膜(NCF)組裝技術和混合鍵合(HCB)技術。 ...

三星和高通宣布成功完成1024 QAM測試:下行鏈路速度提高20%以上

三星和高通宣布,雙方成功完成了頻分雙工(FDD)和時分雙工(TDD)頻段的1024正交幅度調制(QAM)測試,下行鏈路速度相比目前的256 QAM提高了20%以上,提升效果非常地明顯。這次合作表明,三星和高通致力於支持運營商提高5G吞吐量和提高其網絡的頻譜效率。 三星表示,這次測試是一個重要的里程碑,因為這是業界首次實現FDD頻段的1024 QAM。通過使用20MHz帶寬,使得數據傳輸速度達到了485Mbps,實現了接近理論的增益。這次測試是在三星位於韓國的研發實驗室進行的,利用了三星的5G vRAN軟體和無線電(均支持2.1 GHz的FDD和3.5GHz的TDD頻段),並配備了最新驍龍X75 5G 數據機射頻系統的高通測試終端。 QAM是一種先進的調制技術,可以更有效地傳輸數據或信息。這直接關繫到每次傳輸中可以傳輸多少位數據。雖然256 QAM廣泛用於商業網絡,但三星和高通最近完成了3GPP第17版規范中定義的最新1024 QAM,有助於運營商最大限度地利用頻譜資源,並允許移動用戶無縫享受各種移動服務,比如實時視頻流和在線多人遊戲,這些服務都需要更高的下載速度。 此外,三星還計劃在傳統RAN中完成正在進行的1024 QAM測試,預計今年內商用。 ...

三星從《晶片法案》得到64億美元補貼,將興建晶圓廠、先進封裝設施和研發工廠

美國商務部宣布,已經與三星簽署了一份不具約束力的初步條款備忘錄(PMT),將根據《晶片法案》提供約64億美元的直接撥款,以加強美國半導體供應鏈的彈性,推進美國的技術領導地位,並增強美國在全球范圍內的競爭力。 美國商務部稱,三星是唯一一家在先進內存和先進邏輯技術方面都處於領先地位的半導體公司,預計未來幾年將在德克薩斯州泰勒市奧斯汀和地區投資超過400億美元,創造至少21500個就業機會。三星擬議的投資將分布在德克薩斯州中部兩個不同地點,擁有多個項目: 德克薩斯州泰勒市 - 構建一個全面的先進位造生態系統,從前沿邏輯到先進封裝再到研發,打造尖端半導體製造中心,服務於各種終端市場。該生態系統將包括兩個領先的晶圓代工廠,專注於4nm和2nm工藝技術的大規模生產;一個致力於開發和研究當前生產節點之前的技術代的研發工廠;一個生產3D高帶寬存儲器和2.5D封裝的先進封裝設施。其中首座晶圓廠計劃在2026年投產,第二座晶圓廠則是2027年,研發工廠也會在同年啟用。 德克薩斯州奧斯汀 - 擴建近30年來的設施,以支持為美國關鍵行業,生產領先的全耗盡絕緣體上矽(FD-SOI)工藝技術。此外,這項擬議的投資還包括與美國國防部合作的承諾。 三星表示,這些投資表明了其對美國的持續承諾,自1996年以來一直在當地製造晶片。通過繼續在美國開發未來技術,三星正在採取措施,努力加強美國的經濟和國家安全,並提高美國和全球半導體供應鏈的彈性。 《晶片法案》對三星的補貼規模僅次於英特爾(85億美元)和台積電(66億美元),不同的是,三星並沒有獲得《晶片法案》中的貸款和擔保部分,而英特爾和台積電分別得到了110億美元和55億美元的貸款。 ...

受益於SSD價格持續上漲,三星和SK海力士在NAND快閃記憶體業務或再盈利

隨著過去一年多里存儲器供應商的連續減產策略取得成效,存儲產品的價格正在反彈。上個月有報告稱,2024年第二季度DRAM和NAND快閃記憶體在價格方面都會延續過去多個月的增長趨勢,其中NAND快閃記憶體會表現得更為強勢,合約價將上漲約13~18%。此前西部數據已發出正式的客戶信函,通知其合作夥伴將上調NAND快閃記憶體和HDD產品的價格。 據Business Korea報導,三星和SK海力士將得益於SSD產品的價格持續上漲,NAND快閃記憶體業務有望在2024年第一季度再次實現盈利,結束連續虧損的局面。根據TrendForce上個月發布的2023年第四季度NAND快閃記憶體市場報告,顯示三星是市場占有率最高的廠商,達到了36.6%,其次是SK海力士的21.6%,第三名是西部數據的14.5%。 更值得關注的是,除了SSD,現在HDD的價格也在不斷上漲。雖然HDD比起SSD速度更慢、發熱量更高、噪音也更大,但是在相同容量下,價格會更便宜,而且發生故障時更容易恢復數據,在部分細分市場會更受歡迎。HDD的價格上漲說明了這次存儲產品的價格上漲,與整個下游產業鏈有密切的關系,同時人工智慧(AI)的蓬勃發展影響供需關系不僅僅是與計算相關的晶片。 與DRAM業務恢復的速度相比,三星和SK海力士的NAND快閃記憶體業務反彈的速度較慢,兩大巨頭都希望通過提高SSD產品的價格,以盡早讓整個存儲器業務恢復到盈利的狀態,為營收做出更大的貢獻。 ...

三星下個月帶來第9代290層V-NAND快閃記憶體,明年會有第10代430層產品

作為全球最大的NAND快閃記憶體供應商,三星對其V-NAND技術的開發制定了宏偉的計劃。去年三星曾表示,2024年初將開始生產第9代V-NAND技術的產品,繼續沿用雙堆棧架構,擁有業界最高的層數。 據相關媒體報導,三星計劃下個月發布第9代V-NAND技術,層數將達到290層,高於之前所傳的280層,以替代2022年發布的236層第8代V-NAND技術。目前存儲行業似乎已進入「層層堆疊」的激烈競爭當中,而三星看起來領先於SK海力士和鎧俠等競爭對手。此外,三星還公布了第10代V-NAND技術上,層數將達到430層,計劃會在明年到來,或許會引入三堆棧架構。 傳聞首款採用第9代V-NAND技術的是1Tb(128GB)QLC 3D NAND快閃記憶體晶片,存儲密度達到了28.5Gb mm2,將高於目前業界最高的長江存儲產品,後者的存儲密度為20.62Gb mm2。同時I/O速率達到了3.2 Gbps,相比第8代V-NAND技術的2.4 Gbps也要快得多。如果條件允許,三星可能會提供容量為16TB的M.2 SSD,或者是單面8TB的產品。 除了提高存儲密度外,新款3D NAND快閃記憶體晶片的性能也將得到提升,其中包括了最大化3D NAND快閃記憶體輸入/輸出(I/O)速度的新結構。預計三星將帶來990 Pro系列的繼任者,採用PCIe 5.0接口的新一代旗艦SSD。 2022年三星在「Samsung Tech Day 2022」上提出了長期願景:到2030年會將層數提高至1000層。不過有著相同目標的NAND快閃記憶體製造商不止三星一家,此前有報導稱,鎧俠首席技術官Hidefumi Miyajima在近期的一次會議上表示,計劃到2031年開始批量生產超過1000層的3DNAND快閃記憶體晶片。 ...

三星獲得英偉達2.5D封裝訂單,將採用I-Cube封裝技術

目前英偉達的H100等數據中心GPU都是由台積電(TSMC)負責製造及封裝,SK海力士則供應HBM3晶片。不過人工智慧(AI)的火熱程度顯然超出了大家的預期,導致台積電的先進封裝產能吃緊。雖然台積電不斷擴大2.5D封裝產能,以滿足英偉達不斷增長的需求,但是英偉達在過去數個月里,與多個供應商就2.5D封裝產能和價格進行談判,希望能夠分擔部分工作量。 據The Elec報導,三星已經獲得了英偉達的2.5D封裝訂單。其高級封裝(AVP)團隊將向英偉達提供中間層,以及I-Cube封裝。 I-Cube屬於三星自己開發的2.5D封裝,是一種異構集成技術,可將一個或多個邏輯管芯(Logic Chip)和多個高帶寬內存晶片(HBM,High Bandwidth Memory)使用矽中介層,從而使多個晶片排列封裝在一個晶片裡。三星表示,從高性能計算(HPC)到人工智慧、5G、雲和大型數據中心等各種應用場景使用的晶片,都可以選擇I-Cube封裝,可帶來更高的效率。 三星在去年年底成立了先進封裝團隊,目的就是要擴大晶片封裝業務的收入。三星去年開啟談判後,曾向英偉達建議,可以從台積電拿到製造好的晶片,然後從三星的存儲器業務部門采購HBM3,並使用三星的I-Cube封裝來完成後續的工作。對三星來說稍微有點遺憾的是,這次並沒有同時拿到英偉達的HBM3訂單。 ...

三星今年或帶來Galaxy Z Flip/Fold FE,各機型搭載SoC型號曝光

自2019年推出首款可折疊機型Galaxy Fold以來,三星從2020年至2023年之間,每年都會發布Galaxy Z Fold/Flip系列,目前已來到Galaxy Z Fold 5/Flip 5。雖然已經有不少競爭對手加入到可折疊智慧型手機這一細分市場,推出了相當數量的可折疊機型,但是總的來看,三星仍然占據一定的優勢。 據Wccftech報導,三星今年將帶來Galaxy Z Flip FE和Galaxy Z Fold FE。這個消息並不令人感到奇怪,畢竟今年初就傳出了Galaxy Z Fold FE的消息,屬於Galaxy Z Fold 6系列的入門款,計劃在今年下半年發布。 據了解,Galaxy Z Fold FE折疊後的尺寸為155.1 x...

三星將增加美國德州晶圓廠的投資:提高至440億美元,擴大生產線和研發業務

2022年5月,三星宣布在美國德克薩斯州泰勒市新建晶圓廠,投資額為170億美元,不過隨著設備的采購,投資額上漲到250億美元。三星在美國奧斯汀原有的晶圓廠只推進到14nm製程節點,這次加入EUV光刻設備以後,將推進至5nm製程節點,預計2024年開始運營。 據相關媒體報導,三星計劃大幅度增加泰勒市新建晶圓廠的半導體投資,提高至440億美元,接近翻倍。盡管三星在美國的半導體計劃面臨通貨膨脹、勞動力和材料成本上漲等挑戰,但似乎並沒有減慢推進的速度。 三星很可能在原項目基礎上另外再興建一座採用先進工藝的晶圓廠,同時還包含一座先進封裝設施,並擴大在該地區的研發業務,打造全新的半導體中心,預計會耗費掉約200億美元。據了解,三星的新計劃除了晶片生產外,還將重點放在了與人工智慧(AI)相關的部件上,比如HBM,這也是其投資先進封裝設施的原因之一,其中包括了2.5D和3D封裝技術 目前三星官方還沒有確認新計劃,有知情人士透露,三星將於2024年4月15日舉行一場儀式,宣布新增的投資計劃。 ...

三星Galaxy S25系列或維持雙平台策略:提供Exynos 2500和第四代驍龍8版本

三星今年1月在美國加利福利亞州聖何塞的Galaxy Unpack 2024活動上,正式發布了新一代Galaxy S24系列智慧型手機,其中包括Galaxy S24、Galaxy S24+和Galaxy S24 Ultra三款機型。除了搭載高通第三代驍龍8,三星還在Galaxy S24和Galaxy S24+上提供了Exynos 2400版本,面向部分地區銷售。 據DigiTimes報導,三星大機率在Galaxy S25系列上維持雙平台的策略,分別提供第四代驍龍8和Exynos 2500版本。至於定位最高的Galaxy S25 Ultra是否僅配備第四代驍龍8,暫時還不清楚。 過去幾年裡,高通的SoC有著優異的表現,無論CPU還是GPU部分的性能都全面壓制了Exynos系列SoC,毫無疑問是Android旗艦機型的最佳拍檔。傳聞三星正在對Exynos 2500進行測試,有著不錯的性能表現,CPU和GPU都能輕松戰勝高通第三代驍龍8。不過高通今年的第四代驍龍8將引入融合了NUVIA技術的定製Oryon內核,性能將會有進一步提升,大機率仍然會領先於Exynos 2500。 有業內人士認為,三星之所以花這麼大力氣為Galaxy S25系列准備雙平台,一方面是為自家的SoC爭取更多趕上競爭對手的機會,另一方面是考慮到成本問題。去年高通的高管就曾暗示,第四代驍龍8的定價會更高,傳言稱高於第三代驍龍8的200美元。如果僅依靠一款SoC,三星將很難維合適的持利潤率,今年在Galaxy S24系列加入Exynos 2400版本也是出於同樣考慮。 ...

三星加速micro OLED生產,或在三年內實現量產

近日,三星顯示執行董事Jeong Seok-woo在韓國首爾中區K酒店舉行的「OLED Korea」大會上,做了題為「未來顯示中的AR/VR發展策略」的演講,表示將加快RGB micro OLED的生產。 據Business Korea報導,Jeong Seok-woo在演講中,強調了與去年收購的RGB micro OLED專業公司ai hub之間的密切合作,為micro OLED大規模生產做准備,盡快將產品推向市場。 據了解,RGB方法比索尼目前使用的白色OLED(W-OLED)方法在技術方面更進一步,更適合Apple Vision Pro等擴展現實(XR)設備的使用需求。隨著蘋果和三星都在開發利用OLED顯示技術的XR設備,三星顯示將更多地利用ai hub的專長,為XR設備准備好RGB micro OLED。有業內人士表示,三星顯示將在三年內量產RGB micro OLED。 Jeong Seok-woo還談到了micro OLED的市場性,「我們必須與液晶顯示器(LCD)競爭,但我們相信,隨著市場對亮度有更高的要求,micro OLED的競爭力將會越來越強」。一直以來,OLED技術以其出色的色彩表現、對比度和視角性能,正逐漸成為顯示技術的主流。隨著消費者對電子產品顯示效果要求的不斷提高,採用OLED技術的面板在需求上也在持續增長。 MicroOLED又稱矽基OLED或OLEDoS,是將傳統OLED的玻璃基板替換為單晶矽基板,並採用有機發光技術。與傳統OLED外置驅動不同,矽基OLED將單晶矽通過CMOS工藝加工成驅動背板,該技術可以將單個像素點尺寸縮小至原來的1/10左右,以實現更高精度的顯示效果。 ...

Naver從英偉達轉向三星,將購買7.52億美元「Mach-1」AI晶片

此前三星電子DS部門負責人慶桂顯(Kye Hyun Kyung)在第55屆股東大會上宣布,將於2025年初推出人工智慧(AI)晶片Mach-1,正式進軍AI晶片市場,希望能在快速增長的人工智慧硬體領域與其他公司進行競爭。 據KED Global報導,韓國最大的搜索平台Naver決定向三星訂購價值7.52億美元的「Mach-1」人工智慧(AI)晶片,以替代部分英偉達的解決方案,從而減少對英偉達的依賴。由於供應短缺及價格上漲,Naver在去年10月就曾選擇使用英特爾的產品代替英偉達的GPU。 據了解,Naver的Mach-1晶片訂單數量大約在15萬到20萬顆,三星計劃在今年年底向其供應首批Mach-1晶片,未來搭載Mach-1晶片的伺服器會用於名為「Naver Place」的AI地圖服務。有業內人士稱,Mach-1晶片的單價僅為英偉達H100的十分之一,只要性能足夠接近就已經成功了。Naver還希望能與三星展開深度合作,設計人工智慧加速器,最終推出一款性能是H100計算卡8倍的產品。 Naver的大額訂單也引起了同行的關注,不少大量部署人工智慧硬體的公司都渴望有更多的替代方案,以取代英偉達近乎壟斷的地位。三星也在向其他科技公司推銷Mach-1晶片,傳聞包括了Meta和微軟。 ...

傳三星正在測試Exynos 2500,CPU和GPU性能均優於第三代驍龍8

此前有報導稱,三星正在新款Exynos晶片的開發上全力以赴,即便與高通達成了新的協議,也沒有妨礙其自主研發的努力。三星希望明年所有Galaxy S系列機型都能搭載Exynos晶片,也就是內部被稱為「Dream Chip」的Exynos 2500。 近日有網友透露,三星正在對Exynos 2500進行測試,有著不錯的性能表現,CPU和GPU都能輕松戰勝高通第三代驍龍8移動平台,只是NPU方面的情況不太確定。由於目前人工智慧(AI)市場火熱,將其引入到智慧型手機也是大勢所趨,相信不少用戶也會關注NPU的表現。 由於今年高通會帶來第四代驍龍8移動平台,採用定製的Oryon內核,性能將會有進一步的提升,Exynos 2500不一定能在競爭中占到便宜。有傳言稱,三星正在測試不同架構的Exynos 2500,雖然都會採用Cortex-X5內核,但是使用過多的Cortex-X5內核可能會導致功耗失控,如何調整Cortex-X5、Cortex-A730和Cortex-A520內核的配置數量也是三星需要考慮的點,不過10核心的CPU架構似乎沒有太大疑問。 Exynos 2500另外一項重要的升級是支持速度更快的LPDDR5T內存,作為LPDDR5X基礎上改進的產品,速率提升至9.6Gbps,是目前世界上最快的商業化移動DRAM。此外,Exynos 2500很可能與Exynos 2400一樣,選擇採用應用扇出型晶圓級封裝(FoWLP),更好地控制晶片發熱,以提供更強的多核性能表現。 ...

三星已准備好GDDR7晶片,速率28/32Gbps產品頁面已上線

本月初,JEDEC固態存儲協會正式發布了JES239 Graphics Double Data Rate 7,即GDDR7的標准。隨後在英偉達GTC 2024上,三星和SK海力士都展示了自己的GDDR7晶片,這是為接下來基於Blackwell架構的Geforce RTX 50系列顯卡所准備的,未來也會用於人工智慧、高性能計算和汽車等應用。 有網友發現,目前速率為28Gbps(頻率3500MHz)和32Gbps(頻率4000MHz)的GDDR7產品頁面已經在三星官網上線,對應的晶片型號分別為K4VAF325ZC-SC28和K4VAF325ZC-SC32,均為16Gb(2GB)的模塊。信息顯示,兩款晶片都已向客戶提供了樣品,意味著很快會進入批量生產階段。 與現有GDDR6使用的NRZ/PAM2或GDDT6X的PAM4信號編碼機制不同,GDDR7採用的是PAM3信號編碼機制。NRZ/PAM2每周期提供1位的數據傳輸,PAM4每周期提供2位的數據傳輸,而PAM3每兩個周期的數據傳輸為3位。 其實早在去年7月,三星就宣布完成了業界首款GDDR7晶片的開發工作,每個數據I/O接口的速率達到了32Gbps。三星承諾能效方面GDDR7相比GDDR6會有20%的提升,為此引入了幾項新的技術,比如具有高導熱性的環氧模塑化合物(EMC),讓GDDR7封裝的熱阻降低了70%,以確保有源組件不會過熱,在高速運轉時仍有穩定表現。 ...

Galaxy Z Flip 6或採用鈦金屬框架,將提供第三代驍龍8和Exynos 2400雙版本

今年三星將帶來新款折疊屏智慧型手機,包括Galaxy Z Fold 6和Galaxy Z Flip 6,預計會在7月發布。隨著時間的臨近,也不斷傳出有關新機型的消息,比如機身設計和選擇搭載的平台。 據Wccftech報導,Galaxy Z Flip 6將採用鈦金屬材質的框架,而Galaxy Z Fold 6則採用鋁金屬材質的框架。有傳言稱,三星正在考慮今年推出更便宜的Galaxy Z Fold機型,可能稱為Galaxy Z Fold 6 FE,計劃在9月或10月發布,也將採用鋁金屬材質的框架。 最新消息指出,Galaxy Z Flip 6將提供高通第三代驍龍8和自家Exynos 2400雙版本,這與Galaxy S24/S24+的情況類似,針對不同地區銷售不同的版本。如果消息屬實,這將是Exynos晶片首次用於Galaxy...

傳三星3nm GAA工藝良品率已提升兩倍,但仍然不如台積電

三星在2022年6月末宣布,其位於韓國的華城工廠開始生產3nm晶片,採用全新GAA(Gate-All-Around)架構電晶體技術。不過在量產以後,三星的3nm GAA工藝的良品率一直都不是那麼理想。 近日有網友透露,三星初期3nm工藝的良品率最初徘徊在10%至20%之間,經過多方努力後,最近提升了兩倍以上,但是仍然不能與競爭對手台積電(TSMC)的3nm工藝相比,良品率依然處於落後。 去年就曾傳出三星3nm工藝良品率提升的消息,指出可以提升至60%的水平,與70%的及格線始終有一段距離。事實證明,這種說法可能還是過於樂觀,畢竟包括英偉達在內的眾多晶片設計公司都前去了解,表達了意向,只是最後都沒有選擇下單,至少說明良品率還是很不穩定的。三星遲遲不能提升3nm工藝的良品率,也使得高通取消了第四代驍龍8的雙代工廠計劃,至少未來一年仍完全依賴台積電,新的代工策略被迫推遲至2025年。 此前三星公布了到2027年的製程技術路線圖,列出了2022年6月量產SF3E(3nm GAA,3GAE)以後的半導體工藝發展計劃,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原計劃,今年三星將帶來第二代3nm工藝技術,也就是SF3,使用「第二代多橋-通道場效應電晶體(MBCFET)」,已經在試產。 傳聞三星對第二代3nm工藝技術期望很高,功耗、性能和面積(PPA)指標甚至能與台積電N3P工藝相媲美,與之前的4nmFinFET工藝相比,能效和密度有著20%至30%的提升。 ...

三星計劃推出Mach-1:輕量級AI晶片,搭配LPDDR記憶體

三星電子DS部門負責人慶桂顯(Kye Hyun Kyung)在第55屆股東大會上宣布,將於2025年初推出人工智慧(AI)晶片Mach-1,正式進軍AI晶片市場。三星希望,能夠在快速增長的人工智慧硬體領域與其他公司進行競爭,比如英偉達。 據SeDaily報導,Mach-1屬於ASIC設計,被定為為輕量級人工智慧晶片,搭配LPDDR內存產品。其擁有一項突破性的功能,與現有的設計相比,能顯著降低了推理應用的內存帶寬需求,僅為原來的八分之一,降低了87.5%。三星認為,這一創新設計將使Mach-1在效率和成本效益方面具有競爭優勢。 隨著市場對人工智慧設備和服務的需求不斷增加,讓三星堅定了進軍人工智慧晶片市場的決心。不過有三星這樣想法的企業並不在少數,預計未來幾年人工智慧晶片市場的競爭會加劇,同時也進一步推動了行業的創新。雖然英偉達目前在該領域占據了主導地位,但三星有著完善的半導體供應體系,而且具備先進的半導體製造技術,某種程度上很可能使其成為一個強大的競爭者。 據了解,Mach-1目前已進行了基於FPGA的技術驗證,正處於最終的SoC物理設計階段,其中包括了放置,路由和其他布局的優化,預計將於今年底完成製造過程。 ...

NAND快閃記憶體市場呈現復蘇跡象,未來格局或生變

TrendForce表示,隨著存儲器供應商連續減產取得成效,存儲產品的價格正在反彈,半導體存儲器市場終於出現了復蘇的跡象。從市場動態和需求變化來看,NAND快閃記憶體作為兩大存儲器產品之一,正在經歷新一輪的變化。 三星在去年進行了多次減產,不過隨著市場回暖,已開始大幅度提高產能利用率,同時抬升價格,繼續主導市場。其位於西安的工廠的開工率已從去年下半年最低的20-30%提升至70%左右。作為三星唯一在韓國以外的存儲半導體生產基地,西安工廠的月產能達到了20萬片300mm晶圓,占據了三星NAND快閃記憶體40%的產量。三星還計劃2024年開始升級西安工廠的工藝,以便生產採用第8代V-NAND技術的產品,達到了236層。 鎧俠(Kioxia)似乎跟上了三星的節奏,正在評估自2022年起執行的減產策略,計劃提高產量,預計3月NAND晶圓廠的產能利用率恢復到90%左右,不過最終取決於市場需求。與此同時,鎧俠可能在4月底恢復與西部數據的合並談判,但是仍要面對來自於競爭對手的強烈反對。如果合並成功,新公司將掌握全球三分之一的NAND快閃記憶體市場,將對未來競爭格局產生深遠影響。 TrendForce預計,盡管是傳統的淡季,但由於訂單規模的持續擴大,2024年第一季度NAND快閃記憶體產業營收仍會有20%的同比增長,這也刺激了NAND快閃記憶體合同價格平均25%的漲幅。自2023年第三季度以來,NAND快閃記憶體晶片價格已連續數月上漲,在2024年市場需求前景保守的前提下,晶片價格走勢將取決於供應商的產能利用率。 不少廠商認為,2024年的需求會好於2023年,並已啟動戰略儲備。隨著供應鏈庫存水平的顯著改善和價格不斷上漲,客戶會繼續增加采購訂單,以避免供應短缺並降低成本上升的風險。 ...

三星成立新的跨部門聯盟,加速研發玻璃基板晶片封裝

由於市場對人工智慧(AI)的需求持續高漲,相關產品對先進封裝技術的需求也在迅速增長,使得各個晶圓代工廠和晶片製造商更加重視封裝技術和產品方面的投入,比如最近SK海力士宣布,在韓國投資10億美元建造先進封裝設施。 據Sedaily報導,三星成立新的跨部門聯盟,橫跨電子、電氣工程和顯示部門,合作加速「玻璃基板」技術的商業化研發工作,希望能在2026年實現量產。三星是以「比英特爾更快的速度實現商業化」為目標,過去主要由子公司三星電機負責推進項目。 「玻璃基板」是新的晶片封裝技術,三星認為是大面積及高性能晶片組合的理想選擇。其克服了有機封裝等傳統方法的弊端,有著更高的封裝強度,提高了耐用性和可靠性,通殺比有機材料更薄,因此互聯密度更高,能在單個封裝中集成更多的電晶體,但是「玻璃基板」在商業化過程中仍然有不少的障礙。 去年9月,英特爾曾表示希望能成為下一代先進封裝玻璃基板生產的行業領導者,內部團隊已經花了十年的時間進行研發,並打算在亞利桑那州的生產基地進行試產。不過行業機構認為,北美地區的大規模生產沒有那麼快到來,預計要等到2030年。 ...

三星已獲得AMD驗證,將向Instinct MI300系列供應HBM3

2024年高帶寬存儲器(HBM)市場仍然以HBM3為主流,不過英偉達即將到來的H200和B100將更新至HBM3E。由於人工智慧(AI)需求高漲,導致英偉達及其他供應商的相關晶片供應一直處於緊張的狀態,除了CoWoS封裝是產能的瓶頸外,HBM也逐漸成為供應上的制約點。相比於普通的DRAM,HBM生產周期更長,從投片產出到完成封裝需要兩個季度以上。 TrendForce資深研究副總吳雅婷表示,SK海力士是HBM3最主要的供應商,但是供應量不足以應付整個人工智慧市場的需求。在2023年末,三星採用1Z nm工藝的產品加入了到英偉達的供應鏈,盡管比重很小,但卻是三星在HBM3的首個訂單。 三星是AMD長期以來的最重要的策略供應夥伴,2024年第一季度里,其HBM3也通過了Instinct MI300系列的驗證,其中包括了8層堆疊和12層堆疊的產品。到下一個季度,三星將逐步放大供應量,希望能以此趕上SK海力士。 到了下半年,市場焦點將逐漸從HBM3轉到HBM3E,而且供應量也將慢慢增大,成為HBM市場的主流產品。SK海力士和美光都已經通過了英偉達的驗證工作,計劃2024年第二季度末用於H200。三星至今仍未通過英偉達的驗證,預計最快在2024年第一季度末完成相關工作,第二季度開始供貨。 隨著三星與美光加大出貨HBM產品,意味著終於可以改變SK海力士一家獨大的市場局面。 ...

三星HBM晶片良品率偏低,導致AI訂單爭奪中處於下風

在去年颳起的人工智慧(AI)浪潮中,高帶寬存儲器(HBM)和先進封裝逐漸成為了半導體巨頭們新的戰場。目前SK海力士在HBM市場的處於領導地位,憑借對英偉達AI GPU的HBM3訂單,占據了HBM市場54%的份額。原本排在SK海力士和三星後面的美光,憑借更好的工藝,率先獲得了英偉達用於新款H200的HBM3E訂單,看到了趕超的希望。 去年美光、SK海力士和三星先後發送了HBM3E樣品給英偉達,用於下一代AI GPU的資格測試,目前只有三星還沒得到英偉達的訂單。據Wccftech報導,三星之所以落後於競爭對手,主要原因是HBM晶片良品率偏低,傳聞HBM3晶片的良品率僅在10%到20%之間,而SK海力士則是60%到70%。良品率作為晶片製造中的關鍵部分,決定了矽片中可用晶片的數量。 為了解決HBM晶片生產上的良品率問題,三星正在采購新的設備和材料,並改進HBM晶片的封裝技術。有消息稱,三星可能改用SK海力士早在HBM2E上就已引入的MUF技術,取代現有的NCF技術,不過隨後三星予以否認,表示將繼續依靠自己的封裝技術。 投資者也注意到三星在HBM競爭中處於不利的局面,這已經體現在股價上:三星今年以來股價累計下跌了7%,而SK海力士和美光在同一時期內,估計分別上漲了17%和14%。 ...

華為有望首次超越三星,在2024Q1可折疊手機市場登頂

自2019年推出首款可折疊機型Galaxy Fold以來,三星從2020年至2023年之間,每年都會發布新款Galaxy Z Fold/Flip系列產品,目前已來到Galaxy Z Fold 5/Flip 5。雖然已經有不少競爭對手加入,推出了相當數量的可折疊機型,但是三星依然占據一定的優勢,一直統治著這一細分市場。 最近一份來自DSCC的報告顯示,雖然三星仍然是2023年第四季度最大的可折疊智慧型手機品牌,但是華為和榮耀已經迎頭趕上。三星有可能在2024年第一季度失去全球可折疊手機市場第一名的位置,而華為可能首次在這一市場登頂,結束三星長達四年的統治。 去年全球可折疊手機市場共出貨了23款機型,2023年第四季度里排名前十中,有四款來自三星,榮耀和OPPO各有兩款,剩下華為和小米各有一款。其中Galaxy Z Flip 5排在第一名,Mate X4排在第二,三到五名分別是Magic VS2、Galaxy Z Fold 5和Find N3 Flip。 不過進入2024年後,可折疊手機市場的情況會發生變化,華為有望憑借Mate X5和Pocket 2兩款機型超越三星,而三星則要等到下半年Galaxy Z Fold 6/Flip 6上市才有機會卷土重來,顯得有點太晚了,幾個月的時間可能市場形勢就完全不同了。 據了解,2024年大約會有27款可折疊手機發售。...

三星認為High-NA EUV有利於邏輯晶片製造,但存儲器或面臨成本問題

近日在美國加利福利亞州聖何塞舉行的SPIE先進光刻技術會議上,來自光刻生態系統不同部門的專家討論了Low-NA和High-NA EUV光刻技術的前景,觀點從高度樂觀到謹慎,特別是High-NA EUV方面,三星表達了擔憂。 三星負責存儲器生產的研究員Young Seog Kang表示,作為一名用戶,更關心的是總成本問題,目前Low-NA已經投入使用,晶片製造商可能更願意使用Low-NA EUV以雙重曝光或採用先進封裝技術作為補充,這可能是更經濟可行的替代方案,而不是直接使用High-NA EUV來完成。Young Seog Kang認為,邏輯晶片的布局更為復雜,新技術可能在更長時間內適用,而存儲器在擴展新技術時,性能和成本方面都存在潛在的挑戰。 相比於三星,英特爾對High-NA EUV的前景更為樂觀一些。按照英特爾的新計劃,將會在Intel 14A工藝引入High-NA EUV,英特爾掩模業務總經理Frank Abboud稱,過往在DUV發揮重要作用的相移掩模有望引入到EUV。ASML系統工程總監Jan van Schoot概述了幾種提高光刻解析度和擴展EUV光刻應用的方法,表示正在研發新的光源和其他改進k1的策略,現在已經有了一些新想法。 JSR(光刻膠供應商)的總裁Mark Slezak認為,EUV技術可以持續20年,DUV技術的持續時間也比預期要長得多。 ...

三星曾考慮將聯發科天璣9000用於Galaxy S系列,供應量不足致雙方未能達成協議

聯發科(MediaTek)在2021年末,推出了名為天璣9000(Density 9000)的旗艦SoC,重新沖擊高端。這不僅是第一款採用ARMv9架構的SoC,也是第一款支持7500 MT/s的LPDDR5X內存的SoC。從過去兩年多的情況來看,天璣9000讓市場重新關注聯發科,也爭取到了不少的市場份額。 近日有網友透露,三星當初確實考慮過將天璣9000用於Galaxy S系列智慧型手機,不過受制於聯發科的晶片供應量不足,最終雙方未能達成協議。此外,還對於最近有關三星打算採用聯發科旗艦SoC用於高端智慧型手機的消息給予否定的答案。 據了解,聯發科最早推出天璣9000的時候,並沒有生產足夠多數量的晶片,估計僅為1000萬塊晶片,而且要同時供應幾家客戶,考慮到每年Galaxy S系列的出貨量是這數字的三倍,大概為3000萬,讓交易最終落空。 此前有報導稱,三星的訂單在2023年第四季度占據了高通40%的收入,成為了後者最大的單一客戶,兩者可以說在業務上已經相互依賴。傳聞目前第三代驍龍8的定價為200美元,對三星來說已經相當高了,而今年的第四代驍龍8可能會更貴,多少會讓三星產生想法,包括加緊開發新款Exynos晶片用於替換,或者引入其他的供應商。 雖然在高端機型上雙方沒有實現合作,不過三星和聯發科之間仍然保持業務聯系,Galaxy A系列裡也有採用聯發科晶片的產品。 ...

經過長時間與庫存及需求的糾纏,三星DRAM業務時隔5個季度實現盈利

作為全球最大的晶片製造商之一,過往半導體業務一直是三星搖錢樹。不過去年全球存儲晶片陷入了前所未有的低迷,讓三星損失慘重,連續數個季度里一直在與庫存及市場需求作斗爭,DRAM業務陷入了連續虧損。由於庫存負擔過重、需求低迷、價格下滑,最終三星不得不通過減產等手段,將管理重點放在了盈利能力上,但是進展一直不太順利。 據相關媒體報導,經過長時間的掙扎後,三星的DRAM和NAND快閃記憶體業務終於看到了曙光,今年1月份的月度財報里顯示已實現了盈利。如果這種趨勢能夠得以延續,三星的DRAM業務將迎來五個季度以來的首次季度盈餘。 近期TrendForce發布的2023年第四季度DRAM產業和NAND快閃記憶體調查報告顯示,三星都是排名第一的廠商,而且增長幅度也是最大的。其中DRAM業務季度增幅超過了50%,達到了79.5億美元,而NAND快閃記憶體業務環比增長44.8%,達到了42億美元。 不過也有業內人士認為,雖然現在市場的情緒是積極的,但還沒到鬆懈的時候。供應商積極減產推動了價格的上漲,供需環境得到了改善,但是市場需求仍然存在較大的不確定性,這可能是一個意想不到的變量,同時還要密切關注庫存水平。 ...

三星仍需解決3nm工藝良品率問題,目前在50%附近徘徊

近年來,良品率一直是三星晶圓代工業務所要面對的最大問題。特別是在3nm製程節點上,三星率先引入了全新的下一代GAA(Gate-All-Around)架構電晶體技術,與以往使用的FinFET電晶體技術有著較大的區別,也使得良品率問題進一步放大。 據Notebookcheck報導,三星目前3nm工藝的良品率在「50%附近」徘徊,在良品率方面依然有一些問題需要解決。不過這次的消息里,沒有具體說明到底是初代的3nm GAA / 3GAE,還是已經試產、且今年即將量產的第二代3GAP。三星去年曾表示,其3nm工藝量產後的良品率已達到60%以上,不過現在看來,似乎有點過於樂觀。 有行業分析師表示,三星GAA流程方法尚未穩定,這多少能解釋為什麼良品率一直都上不去。不過在4nm工藝上,三星的表現明顯更好,良品率已提升至75%,過去一系列的努力終於有了回報。對於谷歌來說也是個好消息,畢竟今年用於新款Pixel 9系列旗艦智慧型手機的Tensor G4將採用4LPP+工藝製造。 如果三星想要在未來與台積電(TSMC)甚至英特爾代工服務競爭,必須要提升良品率。三星對明年的Exynos 2500寄予厚望,被認為是其SoC設計的翻身之作,計劃採用3nm工藝製造,如果良品率問題得不到解決,很難想像如何與高通及聯發科的同類產品抗衡。 ...

三星決定為第二代3nm工藝改名:「SF3」將重新命名為「SF2」?

此前三星公布了到2027年的製程技術路線圖,列出了2022年6月量產SF3E(3nm GAA,3GAE)以後的半導體工藝發展計劃,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原計劃,今年三星將帶來第二代3nm工藝技術,也就是SF3,使用「第二代多橋-通道場效應電晶體(MBCFET)」,傳聞已經在試產。 據ZDNet報導,有消息人士稱已收到了三星的通知,原來的第二代3nm工藝技術將改為2nm,為此去年與三星簽訂的合同里所寫的3nm也變成了2nm。據稱,三星計劃在今年下半年開始為客戶生產「2nm」晶片,看起來除了名字改了,其他與原來的SF3並沒有分別,何況也不太可能在短時間內突然使用不用工藝技術為客戶生產原先採用SF3的晶片,畢竟這需要重新去做設計。 上個月有報導稱,三星已經從日本人工智慧(AI)初創公司Preferred Networks Inc.(PFN) 處收到2nm晶片訂單。結合這次的信息,有可能當時所稱的2nm晶片,其實採用的是原有的第二代3nm工藝技術。 傳聞三星正在推動「BSPDN(背面供電網絡)」技術的應用,計劃在明年量產的2nm工藝上引入,這是SF3所不具備的。如果三星確實將SF3改為SF2,那麼之前公布的製程技術路線圖也會改變,先前的SF2可能會有一個新的名稱。 ...

英特爾嘗試挖走三星的客戶,以盡快取代對方成為世界第二代工廠

2022年,時任英特爾代工服務部總裁Randhir Thakur在接受媒體采訪時明確表示,希望到2030年能成為全球第二大晶圓代工服務製造商,僅次於台積電(TSMC),並在利潤率方面可以領先。 據DigiTimes報導,為了能夠盡早超越三星,英特爾將目光投向了這家韓國企業的客戶,試圖挖走選擇三星代工的晶片設計公司。為了爭取更多的客戶,英特爾執行長帕特-基爾辛格(Pat Gelsinger)在去年親自下場,向各個晶片設計公司推銷即將到來的Intel 18A工藝。不過三星與其合作夥伴及客戶有著緊密的聯系,這樣的做法暫時沒有起到太大的效果。 相比之下,三星名為SF3(3GAP)的第二代3nm工藝技術要今年晚些時候才到來,時間上應該落後於台積電和英特爾。去年帕特-基爾辛格曾向媒體表示,自己認為「Intel 18A比台積電N2工藝更好一些」。原因是Intel 18A工藝採用了RibbonFET全環繞柵極電晶體和PowerVia背面供電技術,比競爭對手領先好幾年,能為晶片提供了更好的面積效率,這意味著更低的成本、更好的供電和更高的性能。此外,帕特-基爾辛格還暗示N2工藝太貴了,Intel 18A有機會從尋求更高成本效益的客戶那裡獲得訂單。 在2024年2月21日舉辦IFS Direct Connect活動中,英特爾分享了Intel 18A工藝之後的計劃,公布了新的工藝路線圖,新增了Intel 14A製程技術和數個專業節點的演化版本。同時英特爾宣布首推面向AI時代的系統級代工,名為「英特爾代工(Intel Foundry)」,取代了原來的英特爾代工服務(IFS),還包含了封裝的業務。 雖然英特爾信心滿滿,不過近日傳出英特爾下一代Arrow Lake上,僅有非K的酷睿Ultra 5及以下型號才會採用Intel 20A工藝,其餘都將啟用台積電N3B工藝代替。如果連新一代處理器最核心的計算模塊都改用台積電代工,似乎很難讓客戶願意冒險改用Intel 18/20A工藝。 ...

三星在新款Exynos晶片上全力以赴,或獨占Galaxy S系列的SoC供應

在2022年,高通與三星之間建立了新的合作夥伴關系,雙方從2023年開始簽署了一項新協議,將驍龍平台的使用范圍進一步擴大,更多地應用到三星未來高端Galaxy產品線中,除了智慧型手機,還包括個人電腦、平板電腦、虛擬現實等產品。上個月高通在財報電話會議上,確認與三星延長了一項有關驍龍批評的多年協議,從2024年三星旗艦Galaxy智慧型手機的發布開始。 據Notebookcheck報導,三星正在新款Exynos晶片的開發上全力以赴,即便與高通達成了新的協議,也沒有妨礙其自主研發的努力。有消息稱,三星計劃明年所有Galaxy S系列機型都搭載Exynos晶片。 Galaxy S25系列將會在明年發布,包括了Galaxy S25、Galaxy S25+和Galaxy S25 Ultra三款機型,全部採用Exynos 2500。三星也會遵守與高通之間的協議,明年在Galaxy Z Fold7和Galaxy Z Flip7上採用第四代驍龍8平台,也有可能是定製的Galaxy版本。此外,面向主流的Galaxy A系列將繼續依靠自家的Exynos和聯發科的天璣系列SoC。 還有傳言稱,Exynos 2400將是最後一款同類產品,三星會給後續的繼任者起個新的名字。三星一直對Exynos 2500寄予厚望,被認為是其在移動AP領域的中大回歸,內部稱為「Dream Chip」,最後如果以換新名字代表新的開始也不會讓人感到意外。 ...

三星推出新款高性能和大容量microSD卡:讀取速度達800MB/s,提供1TB產品

三星宣布,推出新一代microSD卡,包括順序讀取速度達800MB/s的256GB SD Express microSD卡和1TB UHS-1 microSD卡,目標是提供未來移動計算和設備上人工智慧(AI)應用所需的差異化內存解決方案。 三星內存品牌產品事業組副社長Hangu Sohn表示,通過兩款新的microSD卡,為用戶提供了有效的解決方案,以滿足移動計算和設備上人工智慧日益增長的需求。盡管尺寸很小,但這些存儲卡提供了類似SSD的強大性能和容量,可以幫助用戶從要求苛刻的現代和未來應用中獲得更多。 這是三星首次推出以SD Express接口為基礎的microSD卡,是與客戶成功協作創建的定製產品。其低功耗設計以及針對高性能和熱管理進行優化的固件技術,使得在相當小的尺寸下提供了相當於SSD的性能。相比於基於UHS-1接口的傳統microSD卡的讀取速度被限制在104MB/s,SD Express產品可以提高到985MB/s。這次三星提供的SD Express microSD卡提供了800MB/s的順序讀取速度,是SATA SSD(最高560MB/s)的1.4倍。此外,利用Dynamic Thermal Guard(DTG)技術讓SD Express microSD卡保持最佳問題,確保性能穩定及可靠性。 1TB UHS-1 microSD卡採用了三星第8代V-NAND技術的快閃記憶體晶片,實現了過去SSD才有的大容量封裝。新產品通過了業界最嚴苛的測試,即便在苛刻的使用環境中里也能保證可靠性,具有防水、極端溫度、防摔設計、磨損保護以及x射線和磁保護等功能。 256GB SD Express microSD卡會在今年晚些時候上市,1TB UHS-1 microSD卡則會在今年第三季度開賣。 ...

三星計劃2025年引入背面供電技術:採用BSPDN打造2nm晶片,進一步提高能效

三星在SEDEX 2022上,介紹了一種稱為「BSPDN(背面供電網絡)」的技術,稱經過後端互聯設計和邏輯優化,可以解決FSPDN(前端供電網絡)造成的前端布線堵塞問題,2nm晶片的性能將提高44%,功率效率提高30%。在去年的VLSI研討會上,三星又公布了最新的BSPDN研究成果,表示BSPDN相比FSPDN的面積可以減小14.8%。 據相關媒體報導,三星正在推動BSPDN的應用,計劃明年量產的2nm工藝引入背面供電技術。三星希望通過BSPDN改變遊戲規則,讓其能夠更好地與台積電(TSMC)在代工業務上競爭。 傳聞三星已經在兩個Arm內核上進行了測試,設法更好地實踐如何減少晶片的內核面積,應用BSPDN後,兩個Arm內核的晶片面積分別減少了10%和19%。隨著晶片面積的縮小,三星可以更有效地批量生產更小的SoC設計。早期的測試顯示,引入背面供電技術還成功地提高了性能和能效,甚至超出了三星制定的指標。由於尚未商業化,只是初步的技術探索,暫時還不清楚對生產成本的影響。 除了三星以外,英特爾過去也介紹了開發中的PowerVia技術,計劃在Intel 20A製程節點首次引入。這是英特爾獨有的、業界首個背面供電網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。按照英特爾的說法,背面供電可以讓電晶體供電的路徑變得非常直接,可以減少信號串擾,降低功耗,同時解決了電晶體尺寸不斷縮小帶來的互連瓶頸。 ...

三星官宣業界首款36GB HBM3E 12H DRAM:12層堆疊,容量和帶寬提升50%

去年10月,三星舉辦了「Samsung Memory Tech Day 2023」活動,展示了一系列引領超大規模人工智慧(AI)時代的創新技術和產品,並宣布推出名為「Shinebolt」的新一代HBM3E DRAM,面向下一代人工智慧應用,提高總擁有成本(TCO),並加快數據中心的人工智慧模型訓練和推理速度。 今天三星宣布已開發出業界首款HBM3E 12H DRAM,擁有12層堆疊。其提供了高達1280GB/s的帶寬,加上36GB容量,均比起之前的8層堆棧產品提高了50%,是迄今為止帶寬和容量最高的HBM產品。 HBM3E 12H DRAM採用了先進的熱壓非導電薄膜(TC NCF)技術,使得12層產品與8層產品有著相同的高度規格,滿足了當前HBM封裝的要求。這項技術預計會帶來更多優勢,特別是在更高的堆疊上,業界正在努力減輕晶片裸片變薄帶來的裸片翹曲。三星不斷降低其NCF材料的厚度,並實現了業界最小的晶片間隙(7µm),同時還消除了層間空隙。這些努力使其與HBM3 8H DRAM相比,垂直密度提高了20%以上。 三星的熱壓非導電薄膜技術還通過晶片間使用不同尺寸的凸塊改善HBM的熱性能,在晶片鍵合過程中,較小凸塊用於信號傳輸區域,而較大凸塊則放置在需要散熱的區域,這種方法有助於提高產品的良品率。 三星表示,在人工智慧應用中,採用HBM3E 12H DRAM預計比HBM3E 8H DRAM的訓練平均速度提高34%,同時推理服務用戶數量也可增加超過11.5倍。據了解,三星已經開始向客戶提高HBM3E 12H DRAM樣品,預計今年下半年開始大規模量產。 ...

三星官宣Galaxy Book 4系列筆電全球發售時間:2月26日,開啟AI PC新時代

去年末,三星推出了Galaxy Book 4系列筆記本電腦,不過首批產品僅限於韓國,在今年1月2日上市。Galaxy Book 4系列共有四款產品,分別為Galaxy Book 4 Pro(14/16英寸螢幕)、Book 4 Pro 360和Galaxy Book 4 Ultra。三星還首次搭載了其自研的Knox安全晶片,以提供更為強大的安全性能。 三星已宣布,Galaxy Book 4系列筆記本電腦將於今年2月26日在全球市場發售,包括法國、德國、英國、美國等部分國家。三星表示,新產品搭載了全新的智能處理器,帶有更生動、更具交互性的顯示屏和強大的安全系統,並結合了超便攜設計、更高的性能和無限的連接性,重塑了PC體驗,開啟了AI PC的新時代。 ...

三星與Square Enix攜手,帶來990 Pro x FFVII Rebirth典藏版SSD

三星將攜手Square Enix,帶來990 PRO x FFVII Rebirth典藏版SSD。 據TechPowerup報導,990 PRO x FFVII Rebirth典藏版SSD的包裝整體尺寸為180 mm x 180 mm x 175 mm (WxDxH),盒子上印有《最終幻想VII:重生(Final Fantasy VII Rebirth)》人物的形象。盒內裝有990 Pro 2TB M.2...

三星宣布與Arm合作,以最新2nm GAA工藝優化下一代Cortex-X CPU

三星宣布,將與Arm展開合作,提供基於最新GAA(Gate-All-Around)架構電晶體技術,優化下一代ArmCortex-X CPU,進一步提高了性能和效率,將用戶體驗提升到一個新的水平。 三星表示,該計劃建立在多年來數百萬台搭載Arm CPU智慧財產權(IP)的數百萬台設備的合作基礎上,這些晶片採用了三星提供的各種工藝節點。三星確信與Arm之間的一系列公告和計劃將奠定創新的基礎,雙方制定了大膽的計劃,為下一代數據中心和基礎設施定製晶片提供2nm GAA工藝,以及突破性的人工智慧(AI)小晶片解決方案,將徹底改變未來生成人工智慧移動計算市場。 三星在2022年6月量產了SF3E(3nm GAA),引入全新的GAA架構電晶體技術。2024年計劃帶來名為SF3(3GAP)的第二代3nm工藝技術,將使用「第二代多橋-通道場效應電晶體(MBCFET)」,在原有的SF3E基礎上做進一步的優化,之後還會有性能增強型的SF3P(3GAP+),更適合製造高性能晶片。到了2025年,三星將會開始大規模量產SF2(2nm)工藝。 GAA技術允許在之前的FinFET外進一步擴展器件,通過降低電源電壓水平來提高電源效率,並通過更高的驅動電流能力增強性能,採用納米片結構的GAA實現方法提供了最大的設計靈活性和可擴展性。 ...

三星連續18年稱雄電視市場,高端及大尺寸螢幕產品助力登頂

市場研究公司Omdia最新數據顯示,三星再次稱雄電視市場,自2006年首次登頂後,已連續18年排名行業第一,2023年占據了全球電視市場30.1%的銷售額。三星官方表示,以Neo QLED、超大螢幕、強調生活方式等高價產品為中心的銷售戰略,使其連續18年保持第一的位置。 2023年裡,三星共銷售了831萬台Neo QLED和QLED電視,自2017年推出首款QLED電視以來,累計銷量已超過4400萬台,引領了高端電視市場。去年三星電視在2500美元以上的高端市場,以及75英寸以上的超大螢幕電視市場也是位居榜首,而且有持續走強的趨勢。 其中2500美元以上的高端市場更是創下了60.5%的銷售額占比,比起2022年的48.3%提升了12.2個百分點,顯示出獨一無二的影響力。75英寸以上的超大螢幕電視市場也創下了33.9%的銷售額占比,特別是98英寸的Neo QLED電視,讓三星在90英寸以上的超大螢幕電視市場的銷售份額達到了30.4%,排在了第一位。 值得一提的是,自2022年推出首款OLED電視以來,三星已售出超過100萬台OLED電視,去年市場銷售份額為22.7%。隨著今年提供更多尺寸選擇,三星預計其 OLED電視銷量將實現進一步的增長。 此外,三星計劃未來幾年通過降低售價及推出更小尺寸的產品,讓Micro LED電視更容易被消費者接受。 ...

三星990 EVO SSD開售:最大2TB,首發價1179元

三星上個月發布了990 EVO,這是首款同時支持PCIe 4.0 x4及PCIe 5.0 x2通道的SSD,也是自2019年推出970 EVO Plus以後,該產品線的再次更新。目前新產品已登陸電商平台,提供了1TB和2TB容量可選,曬單抽百元E卡,廠商提供五年質保。 990 EVO SSD 1TB,首發價不高於679元,京東地址:點此前往>>>990 EVO SSD 2TB,首發價不高於1179元,京東地址:點此前往>>> 990 EVO面向中端市場,為2280 M.2規格,重量約為9g,最大連續讀寫速度分為5000MB/s和4200MB/s,最大隨機讀寫則分別為700K IOPS和800K IOPS,最大TBW為1200。其採用了三星的V-NAND TLC,搭載了自研主控晶片,MTBF為1,500,000小時,帶有智能散熱解決方案,支持三星魔術師固態硬碟管理軟體。 990 EVO可以說是一款PCIe 5.0 SSD,不過只有2個通道,理論上帶寬與PCIe 4.0的4個通道是一樣的。按照一般的SSD,如果接口是PCIe 5.0...

三星Exynos 2400量產良品率為60%,不及台積電N4P的70%

三星在去年10月,宣布推出新一代移動處理器Exynos 2400。三星在Exynos 2200基礎上進行了多項改進,其中CPU性能提升了70%,人工智慧(AI)工作負載加速更是提升了驚人的14.7倍,同時GPU還引入了RDNA 3架構,提供了改進的遊戲和光線追蹤性能。 Exynos 2400採用了三星4LPP+工藝製造,近日有網友透露,其良品率為60%,相比於台積電(TSMC)N4P的70%要低一些,但是考慮到三星大約12到18個月前的良品率僅為25%,已經是一個巨大的進步了。 雖然4LPP+不如N4P,Exynos 2400的性能也不及高通第三代驍龍8,但差距已經大大縮小。接下來三星很可能會提高產量,即便良品率保持在60%。傳聞谷歌今年晚些時候的Tensor G4也可能採用4LPP+工藝製造,而且設計與Exynos 2400有相似之處,不知道屆時良品率是否會繼續提升。 Exynos 2400的CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心。此外,Exynos 2400搭載了名為「17K MAC」的NPU。集成的5G基帶能夠提供12.1Gbps的下行速率和3.67Gbps的上行速率,支持Sub-6GHz,下行/上行速率達到9.64/2.55Gbps。 ...