Home Tags Tsmc

Tag: tsmc

A17 Bionic和M3的良品率僅為55%:蘋果只為台積電合格的3nm晶片付款

今年蘋果將帶來A17 Bionic和M3晶片,其中前者將用於iPhone 15 Pro和iPhone 15 Pro Max,後者則用在新款Macbook機型上。兩款晶片都會選擇台積電(TSMC)在3nm製程節點的首個工藝N3,蘋果占據了該製程節點90%的初期訂單量。 據EE Times報導,台積電在3nm晶片的生產上遇到一些問題,良品率一直無法提高,A17 Bionic和M3晶片的良品率僅為55%,也就是說有近一半的晶片是不可用的,這距離正常的良品率還有相當一段差距。為此台積電和蘋果雙方約定,不會按照標準的晶圓價格收費,蘋果僅向台積電支付合格晶片的費用。 預計到2023年年底,台積電3nm晶片的月晶圓產量約為10萬片,在55%良品率下,只有5.5萬片是符合蘋果標準的可用晶片。傳聞雙方約定每片晶圓的價格為1.7萬美元,如果台積電想蘋果按照標準的晶圓價格付費,良品率要提升至70%,不過在2024年上半年之前都不可能做到,而A17 Bionic在8月份就會量產。 有消息稱,蘋果在2024年可能改用N3E工藝,而不是之前傳言的N3B工藝,產量和良品率會更高,而且生產成本也更低。不過切換工藝後,A17 Bionic和M3晶片的性能可能會下降,所以蘋果似乎尚未作出決定。 ...

台積電2nm代工價接近2.5萬美元,廠商新品高定價策略或已很難回頭

在拖沓數月之後,台積電(TSMC)3nm製程節點在去年末終於量產。其報價突破2萬美元,相比4nm/5nm代工價高出4000美元。這也讓不少客戶望而卻步,使得台積電初代N3工藝的客戶僅有蘋果一家,獨占了所有產能。 三星和英特爾在晶圓代工領域都力爭趕超台積電,但台積電仍處於絕對領先的位置,拿下了市場上大部分3nm訂單,同時還開始洽談2nm的合作。據DigiTimes報導,台積電2nm代工價接近2.5萬美元,比現有3nm代工價高出了約25%。台積電在2nm製程節點將首次採用GAAFET(Gate-All-Around FET)架構電晶體,並依賴於現有的極紫外(EUV)光刻技術。 有業內人士表示,進入7nm製程節點後,先進工藝的報價就越來越高,台積電6/7nm代工價接近1萬美元,4/5nm代工價約1.6萬美元,3nm代工價更是達到了2萬美元,而且能拿到折扣優惠的除了最大客戶蘋果,只有個別訂單規模足夠大的廠商。雖然不斷傳出良品率和效能問題,不過台積電有著供貨和議價的優勢,許多IC設計公司最終也只能「閉眼下單」。目前有足夠資金和產品需求在2/3nm製程節點下單的客戶越來越少,且這些客戶都與台積電建立了長期合作關系。 按照台積電的時間表,N2工藝預計在2024年末做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批2nm晶片。與3nm製程節點一樣,預計台積電同樣會拿下大部分大型晶片設計公司的訂單,從2024年起迎來新一波增長。 有IC設計從業人員稱,台積電代工報價不斷創新高,加上通貨膨脹的壓力等因素,這些成本都會轉嫁到下遊客戶,反映在終端設備的價格上。近年來,包括蘋果iPhone和英偉達GPU等各種新品的價格不斷提升,這種高定價的策略已經很難回頭。 ...

蘋果iPhone 15系列或推動台積電業績增長,預計2023Q3收入拉升11%

蘋果將會在今年秋天帶來新一代iPhone 15系列智慧型手機,目前相關准備工作正在進行當中。此前有報導稱,iPhone 15系列的代工廠富士康已完成試產工作,意味著已經准備好隨時開始大規模生產新機型,按計劃應該在本月晚些時候開始進入量產階段。 據相關媒體報導,由於iPhone 15系列帶來的高需求,將拉動台積電(TSMC)的業績增長,有市場分析機構預計2023年第三季度的營收將比前一個季度增長11%。根據估算,台積電2023年第二季度的營收大概在170億美元。蘋果是台積電目前最大的客戶,占後者全年收入23%左右。 iPhone 15系列高端型號上會搭載台積電3nm工藝製造的A17 Bionic,傳聞這些機型需求量更大,蘋果可能會增加訂單。有報導指出,作為台積電3nm工藝的首個客戶,蘋果占據了該製程節點90%的訂單量,而且還會成為首批2nm工藝的客戶之一。 據了解,台積電3nm工藝的產能到今年末大概能到每月10萬片,隨著需求量增加,即便給蘋果一個「特殊」的折扣,也可以獲得更多的收益。市場分析機構表示,預計iPhone 15系列今年的出貨量大概在8900萬台。 ...

蘋果將成為台積電首批2nm客戶之一,已在進行試產

兩個月前,台積電(TSMC)介紹了先進半導體製造工藝的路線圖,包括了3nm和2nm製程節點的各種工藝。台積電今年將推出改進的N3E工藝,成本更低,有著更好的經濟效益,接下來還會有N3P、N3X和N3AE,以滿足不同客戶的多樣化需求。2nm製程節點將採用GAAFET(Gate-All-Around FET)架構電晶體,同時仍依賴於現有的極紫外(EUV)光刻技術。 據Wccftech報導,雖然距離台積電的2nm進入大規模生產還有一段時間,不過相關晶片設計公司已經行動起來,蘋果將是該製程節點首批客戶之一。除了蘋果以外,英偉達很可能是另一個潛在的第一批客戶。 有消息稱,蘋果已經開始對台積電的2nm工藝進行試產,派遣了大約1000名工作人員,到後者一個名為「Fab 20」的在建晶圓廠。雖然3nm製程節點有多個工藝可以選擇,不過蘋果似乎更著眼於未來,一定程度上是由於iPhone系列產品是其最大收入來源,核心的A系列晶片需要用到最尖端的工藝。 目前蘋果已經獲得了台積電約90%的3nm產能,考慮到在市場競爭中的優勢,很可能會向其供應鏈合作夥伴支付溢價,以獲得首批出貨量。按照台積電的時間表,N2工藝預計在2024年末做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批2nm晶片。 ...

2023Q1排名前十晶圓代工廠營收環比減少18.6%,整體仍在持續下滑

根據TrendForce最新的統計數據,顯示受到終端需求持續疲軟和淡季效應疊加影響,2023年第一季度前十晶圓代工廠的產能利用率和出貨量都出現了下跌,季度營收環比減少了18.6%,跌至約273億美元。在本季度里,格羅方德(GlobalFoundries)超越聯華電子(UMC),登上了第三的位置,另外高塔半導體(Tower)超越力積電(PSMC)和世界先進(VIS),拿下了第七名。 台積電(TSMC)在2023年第一季度受到了筆記本電腦和智慧型手機等主流消費產品的營銷,營收為167.4億美元,環比減少了16.2%,6/7nm和4/5nm的產能利用率明顯減少,對應的營收分別減少了20%和17%。雖然第二季度有緊急加單的需求,但總體的產能利用率仍然不理想,預計營收仍會下跌,不過跌幅會收窄。 三星的8英尺和12英寸產能利用率都出現了下滑,2023年第一季度里的營收僅為34.5億美元,環比減少了36.1%,是前十名里跌幅最大的,不過第二季度會受益於部分3nm新品訂單,預計營收的跌幅會放緩。格羅方德在2023年第一季度的營收為18.4億美元,環比減少12.4%,由於得到了美國政府的訂單,運營較為穩定,從而超越了聯華電子成為了第三名,預計第二季度的產能利用率和營收與第一季度大致持平。 聯華電子在2023年第一季度的營收環比減少了17.6%,跌至17.8億美元,其中22/28nm和40nm的營收下跌超過20%,同時8英寸的產能利用率已跌至60%一下,而12英寸的產能利用率大概在80%左右,預計第二季度的營收持平或小幅度上漲。中芯國際(SMIC)在2023年第一季度的營收約為14.6億美元,環比減少9.8%,其中8英寸的營收減少了近三成,12英寸的營收則有略微增長,預計第二季度營收、出貨量和產能利用率都會出現增長。 TrendForce預期,2023年第二季度前十晶圓代工廠的營收仍會繼續下滑,不過跌幅會減小。雖然下半年的旺季很快會到來,以往廠商會在第二季度就開始備貨,但今年實況不佳及去庫存緩慢,多數客戶仍持謹慎的態度,最多偶爾會有零星急單,對整體增長的貢獻有限。 ...

台積電將增加先進封裝產能,以滿足英偉達AI GPU新增訂單

近年來,人工智慧(AI)、高性能計算(HPC)和PC一直在推動著對先進工藝和封裝技術的發展,市場需求在迅速增長,台積電(TSMC)作為領先的晶圓代工廠,不斷擴大其先進工藝和封裝的產能,以適應市場的需求變化。過去幾個月里,以ChatGPT為首的人工智慧工具在全球范圍內掀起了一股熱潮,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高。 據DigiTimes報導,台積電現在正計劃擴大其先進封裝的產能,緊急訂購新的封裝設備,以滿足今年內的訂單需求。由於台積電和英偉達之前都低估了市場對數據中心GPU的需求,現有的封裝設備已無法滿足。 據了解,現階段對CoWoS等封裝技術的需求遠遠超過了現有產能,台積電向英偉達承諾在2023年間額外加工10000片CoWoS晶圓。以每塊晶圓製造約60個A100/H100 GPU晶片計算,意味著多出了約60萬個數據中心GPU訂單。台積電計劃今年剩餘的時間里,每個月增加1000到2000片CoWoS晶圓,每個月的產量大概在8000到9000片之間,這可以提升先進封裝設施的利用率。 此前就有報導稱,高性能計算GPU的交貨周期已從過往的3個月延長到了6個月,某些情況下可能要等待更長的時間,部分新的訂單估計要到今年12月才能完成,這意味著等待時間將超過6個月。目前台積電4/5nm和6/7nm的產能利用率已基本到達飽和狀態,以應付英偉達的新增訂單,包括了A100、A30、H100、A800和H800等計算卡。 ...

台積電發力2nm工藝,以滿足蘋果和英偉達的需求

去年台積電(TSMC)總裁魏哲家證實,2nm製程節點將如預期那樣使用Gate-all-around FETs(GAAFET)電晶體,製造的過程仍依賴於現有的極紫外(EUV)光刻技術,預計2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批採用N2工藝製造的晶片。 據相關媒體報導,台積電正全力以赴,已經開始准備2nm晶片的試產前期工作。一方面為了保持與三星和英特爾之間的領先優勢,另一方面是為了滿足蘋果和英偉達對於晶片製造的要求。 消息人士透露,台積電已經派出工程師和支持人員到台灣新竹科學園區的研發工廠,為2nm試產做准備。今年台積電將建立一條小規模生產線,目標是生產1000片晶圓,2024年進行試產工作。如果一切順利,台積電將擴建新工廠的生產線。由於台積電在N2工藝首次引入全新的GAA電晶體,可能會遇到不可預知的狀況需要處理,因此盡早開始生產變得更加重要。 隨著市場環境的改變,客戶競爭比以往任何時候都更加激烈,而台積電的主要合作夥伴都積極地投資於其定製的解決方案,以確保生產能順利進行,而且讓晶片有更好的表現。台積電還將人工智慧應用到製造環節,以提高效率,並節約能源,從而減少碳排放。此外,台積電還在2nm製程節點准備了N2P和N2X工藝,以滿足不同的晶片製造需求。 ...

台積電計劃明年加價6%,已經開始與大客戶進行談判

過去三年裡,在新冠疫情影響生產、半導體需求旺盛導致訂單暴漲、全球通脹、物流成本上升等各種因素影響下,晶圓代工的龍頭企業台積電(TSMC)已多次提高了訂單的報價,而且取消了一些過往的折扣優惠。雖然自去年下半年起,半導體需求反轉,已長時間疲軟,但台積電似乎沒有打算停下加價的腳步。 據DigiTimes報導,台積電計劃最早在2024年1月提高代工報價,根據訂單量等不同,提升的幅度大概在3%至6%。傳聞台積電為這次漲價准備了相當長的一段時間,已經通知了不少大客戶。要知道此前台積電已經將2022年的訂單報價提高了10%至20%,漲幅不小,在現今不景氣的市場環境下繼續漲價,多少有點讓人感到意外。 有消息人士透露,許多大客戶已經開始與台積電進行談判,顯然較為緩和的漲幅也會帶來苦惱。台積電表示,那些接受漲價的客戶更有能力提前儲備產能,這種策略可以吸引最成功的的客戶,因為對他們而言,時間才是最重要的。可以預見在未來一段時間內,像蘋果、AMD和英偉達這樣的科技企業不會偏離與台積電之間的密切合作,仍需要依賴先進位程打造領先的晶片。那些大體量的重要客戶,不知道是否能夠通過談判獲得特殊的報價或者折扣。 對於消費者來說,更為關心的是代工價格提高是否會影響產品的價格,事實上這很難准確回答,畢竟代工價格只是影響的因素之一,而且在不同類別的產品之間可能會有很大的差異。 ...

台積電N3系列工藝無法提高SRAM密度,已經與邏輯密度提升沒有關系

上個月,台積電(TSMC)介紹了先進半導體製造工藝的路線圖,包括了3nm和2nm製程節點的各種工藝。今年台積電將推出改進的N3E工藝,成本更低,有著更好的經濟效益,接下來會在3nm製程節點提供更廣泛的產品組合,包括N3P、N3X和N3AE,以滿足不同客戶的多樣化需求。 WikiChip表示,近期得到的信息顯示,SRAM單元在台積電3nm製程節點上,與5nm製程節點基本沒有分別。雖然台積電在早期曾表示,新的製程節點在SRAM單元的密度上是上一代工藝的1.2倍,不過根據最新的信息,差別非常小。此前就有報導稱,台積電在3nm製程節點遇到SRAM單元縮減放緩的問題。 據了解,台積電在改進的N3E工藝上,引入了英特爾早在2011年22nm時期就採用的SAC方案,提高了良品率。不過無論N3E工藝如何改進,SRAM單元的密度都沒多大差別。這也導致了今天台積電談及新製程節點的進步時,主要還是說邏輯密度及製造步驟的改進,有意迴避了這方面的問題。 現代處理器里,SRAM占據了晶片很大一部分面積和電晶體數量,如果沒有明顯改進,晶片換用新的製程節點效果就不太明顯了。何況台積電的3nm製程節點成本大幅度飆升,導致了許多晶片公司都選擇觀望,沒有去下單。事實上,SRAM縮減已經不再跟隨邏輯密度提升,這樣的情況已經有一段時間了,只是兩者現在已經沒有什麼關聯。 ...

台積電介紹其CFET電晶體技術:已進入實驗室,距離量產仍很遙遠

近日英特爾在比利時安特衛普舉行的ITF World 2023上,概述了幾個關鍵領域的最新發展,其中之一便是英特爾未來將採用的堆疊式CFET電晶體架構。作為現階段半導體製造技術的龍頭,台積電(TSMC)也在2023歐洲技術研討會活動中,介紹了其未來的GAAFET及CFET電晶體技術。 據AnanadTech報導,台積電透露其CFET電晶體已經進入到實驗室,正在進行性能、效率和密度的測試,與GAAFET相比,這幾方面都會更有優勢。不過CFET需要一些額外的製造步驟,才能使晶片按預期工作。CFET電晶體將n和p兩種MOS器件相互堆疊在一起,需要使用高精度和高功率的High-NA EUV光刻機來製造。 台積電正在研究各種電晶體設計類型,這些研究項目需要很長時間,而CFET技術可能是未來最有可能的候選之一,不過現階段不能說已經超越Nanosheet,而且CFET電晶體需要將新材料整合到製造過程中,從而導致對應的製程節點需要更大的投資。唯一肯定的是,台積電在2nm工藝起會引入GAAFET電晶體技術。 台積電使用FinFET電晶體已經有十年了,期間經歷了五代工藝,正常來說GAAFET電晶體應該也會使用幾代產品,至於CFET電晶體距離大規模量產仍然很遙遠。 ...

三星稱其代工技術五年內可超越台積電,存儲半導體在AI伺服器上將變得更重要

近日,三星半導體在KAIST(韓國科學技術研究院)舉辦了一場講座,三星電子設備解決方案業務部門負責人慶桂顯介紹了三星半導體追趕競爭對手台積電(TSMC)的願景。 據Sammobile報導,慶桂顯首先承認了三星在代工技術上落後於台積電,4nm工藝技術上落後了約兩年,而3nm工藝技術上落後了約一年。不過慶桂顯認為三星現在有一項優勢,那就是更早地採用GAA架構電晶體技術,縮小了與台積電之間的距離,隨著時間的推移,三星可以在五年內超越台積電。 三星在去年6月,宣布其位於韓國的華城工廠開始生產3nm晶片,成為了全球唯一一家採用下一代全新GAA(Gate-All-Around)架構電晶體技術,提供3nm工藝代工服務的代工企業。與三星不同的是,台積電在3nm工藝上仍使用傳統的FinFET(鰭式場效應電晶體),要等到2nm工藝才引入新的電晶體技術。 最近有報導稱,三星的3nm工藝量產後的良品率已提升至60%到70%之間,吸引了不少客戶的關注。慶桂顯稱,客戶對3nm GAA工藝的反應很好,預計推進到2nm工藝時,與台積電之間的競爭態勢就會發生改變。 此外,慶桂顯表示,存儲半導體在開發人工智慧伺服器方面會變得更加重要,甚至超過英偉達的GPU,三星將「確保以存儲器半導體為中心的超級計算機在2028年問世」。 ...

台積電美國廠訂單報價或高出30%,日本廠也會高出15%

出於各種因素,目前台積電(TSMC)在全球各地都在新建或擴建晶圓廠,成本上並不劃算,都非常依賴於當地政府在水電、土地和減稅等各方面的政策優惠。如果項目最終的成本超出了預期,或者運營時有額外的成本付出,最終都會轉嫁到客戶身上。 據DigiTimes報導,台積電位於美國和日本的新建晶圓廠預計會在2024年年底開始投入使用,目前已經開始和客戶討論訂單和報價。有業內人士表示,台積電美國廠採用4/5nm工藝生產的晶片,價格比台灣的晶圓廠高出20%到30%,而日本廠雖然開始以成熟的22/28nm工藝生產,但價格也會高出10%到15%。 更高的成本肯定會影響訂單量,同時也削弱了競爭的優勢,未來台積電美國廠有可能承接的是對價格不太敏感的晶片。台積電日本廠的情況則好一些,與當地客戶的談判比較順利,這主要得益於當地政府提供了更多的扶持政策。 鑒於美國和日本的新建晶圓廠運營成本較高,台積電已有多次抱怨,為了維持53%的毛利率目標,加價也是難免的。隨著三星5nm及以下工藝在良品率上的進步,不少廠商都計劃轉移一些訂單到三星,以便在產能和成本控制上更加靈活。傳聞AMD和高通考慮選擇三星代工,而英偉達可能轉向英特爾的代工服務。 台積電最大的客戶是蘋果,占據了其四分之一的收入,可以享受20%到30%的折扣優惠。這歸功於蘋果在台積電推進工藝研發和技術突破上的緊密合作,而且蘋果往往是第一個敢於採用最新製程節點的企業,願意支付額外的費用和承擔更大的風險。 ...

台積電大客戶推遲採用3nm:英偉達等到2025年,AMD消費晶片未來兩年仍停在4nm

盡管現階段台積電(TSMC)仍保持著先進工藝方面的領先又是,但隨著全球經濟放緩、半導體行業不景氣、先進位程價格昂貴、消費市場需求疲軟等因素影響,客戶開始減緩投片下單,這很可能讓台積電難以達成其成長目標。 據Digitimes報導,除了最大客戶蘋果以外,像高通、聯發科、英偉達和AMD等台積電大客戶,皆修改了原定的計劃,推遲採用3nm及其以下的先進工藝。其中AMD消費級晶片直到2025年仍會停留在4nm及其以上工藝,最早採用3nm工藝的是EPYC伺服器處理器,也要等到2024年下半年,原計劃採用2nm工藝的Zen 6架構處理器最快要到2026年才會登場。英偉達仍在做評估,應該要到2025年才會進入3nm製程節點。 最新的消息稱,蘋果不打算在今年發布M3晶片,要等到2024年。蘋果今年將發布新一代iPhone 15系列智慧型手機,高端型號上應該會採用台積電3nm工藝製造的A17 Bionic,如果新款iPhone機型的銷售也未能達到預期,恐怕會對台積電的運營造成進一步沖擊。 由於市場對其3nm產能、良品率、成本等疑慮只增不減,最近台積電再次強調了其3nm和2nm計劃。台積電今年將推出改進的N3E工藝,成本更低,有著更好的經濟效益,接下來會在3nm製程節點提供更廣泛的產品組合,包括N3P、N3X和N3AE,以滿足不同客戶的多樣化需求。 有半導體從業人員表示,過去一年多里,台積電3nm工藝爭議並不少,除了量產時間推遲,客戶對新工藝的良品率、效能和價格等方面都有顧慮,而且今年下半年的市場供需情況並不樂觀,甚至蘋果都已受到了影響。 ...

台積電德國項目投資額達110億美元:建造專注於28nm晶片生產的晶圓廠

此前有報導稱,台積電(TSMC)已確立德國建廠模式,將與全球最大的汽車零部件供應商博世合作,在德勒斯登建設新晶圓廠。據稱,博世承諾會承擔人力、工會和生產效率等方面的責任風險。 據相關媒體報導,台積電正就對德國投資建廠所需的大量投資進行談判,最快會在8月通過建廠方案,其歐洲首座晶圓廠將採用面向汽車晶片使用的28nm特殊製程。知情人士稱,台積電參與的新項目投資金額約為100億歐元(約合110億美元)。為了匹配這一金額,吸引戰略投資,歐盟委員會將會對相關國家補貼開綠燈。 據了解,除了博世以外,台積電還會與恩智浦半導體、英飛凌合作,為晶圓廠提供廣泛的基礎,分散投資的風險。這些合作夥伴將幫助台積電規劃和籌集國家援補貼資金,取得當地政府在水電、土地和減稅等各方面的政策優惠,整個項目的預算至少為70億歐元,總投資可能接近100億歐元。在巨額補貼之下,台積電和其合作夥伴的計劃很難被拒絕。 雖然PC玩家對28nm製程嗤之以鼻,不過日常生活里使用的晶片不少採用的製程甚至比28nm還老舊。事實上,台積電大力鼓勵使用成熟製程的客戶,將晶片的製程提升至28nm的水平。台積電非常謹慎,即便談判深入到接近達成協議的階段,也不排除出現其他變數,最終讓計劃落空。 ...

台積電公布2/3nm工藝技術路線圖:N3P將於2024H2投產,2025年會帶來N2和N3X

近日,台積電(TSMC)在美國加利福尼亞州聖克拉拉市舉辦的2023北美技術研討會上,介紹了先進半導體製造工藝的路線圖,包括了3nm和2nm製程節點的各種工藝。 據Wccftech報導,台積電今年將推出改進的N3E工藝,成本更低,有著更好的經濟效益,接下來會在3nm製程節點提供更廣泛的產品組合,包括N3P、N3X和N3AE,以滿足不同客戶的多樣化需求: N3P - 一種增強的3nm工藝,計劃在2024年下半年投產,在N3E基礎上有額外的提升,在相同功率下,速度可提高5%,或者降低5%-10%的功耗,密度為原來的1.04倍。 N3X - 優先考慮HPC應用的性能和最高頻率, 與N3P相比,N3X在電壓同為1.2V的情況下,速度提高了5%,密度與N3P相同,將於2025年進入量產階段。 N3AE - 意思是「Auto Early」,將在2023年推出,提供基於N3E的汽車工藝設計套件(PDK),並允許客戶在3nm製程節點上推出用於汽車應用的設計,從而在2025年推出完全符合汽車標準的N3A工藝。 目前台積電仍在穩步推進2nm製程節點的技術研發,將採用GAAFET(Gate-All-Around FET)架構電晶體,並在良品率和性能方面取得進展。台積電預計在2025年發布N2工藝,在相同功率下,速度相比N3E提高15%,或者降低30%的功耗,密度為原來的1.15倍。 台積電還在開發N4PRF,推進CMOS射頻技術的極限,預計將成為業界最先進的CMOS射頻技術,用於數字密集型射頻應用,比如Wi-Fi 7射頻系統晶片。與2021年推出的N6RF在相同速度下,邏輯密度增加77%,功耗降低45%。 ...

台積電3nm工藝不能完全滿足蘋果要求,需提高良品率和產量

一直有消息稱,蘋果計劃在今年晚些時候推出A17 Bionic和M3,這將是首批採用台積電(TSMC)3nm工藝的晶片。不過近期有報告稱,台積電3nm工藝遇到了一些問題,不能完全滿足蘋果需求。 據Wccftech報導,台積電3nm工藝似乎在工具和產量方面似乎受限,一直無法提高產量,而且良品率也遇到些問題,傳聞A17 Bionic和M3晶片的良品率約為55%,台積電計劃每個季度將良品率提高5%左右。此前就有消息稱,蘋果降低了A17 Bionic的性能目標,以提高良品率並壓低成本。 有分析師表示,由於A17 Bionic和M3的尺寸不同,每塊晶圓上的晶片數量也不一樣。A17 Bionic大概需要82個掩膜層,晶片尺寸在100至110平方毫米內,每片晶圓上大概有620個晶片。M3比A17 Bionic要更大一些,晶片尺寸在135至150平方毫米內,每片晶圓上大概有450個晶片。台積電希望能進一步提升良品率,從現在的55%提高到70%。 目前台積電3nm工藝最大的障礙是要確保來自不同供應商的昂貴設備和工具能夠發揮最大作用,似乎現在用起來多少都有些問題,從而導致效率下降,使得3nm晶片在生產上很掙扎。台積電當下的主要目標還是要優化生產,由於新一代iPhone 15系列智慧型手機出貨量較大,蘋果對A17 Bionic需求量也較高,台積電3nm晶片產量需要盡快爬升才能應付。 ...

傳台積電三大客戶庫存高漲,下半年過於依賴蘋果或二次下調全年營收預期

近日台積電(TSMC)公布了2023年第一季度業績,表現一般。由於受到了整體經濟形勢衰退和客戶因終端市場需求疲軟進行的調整影響,台積電下調了2023年全年的營收預期,從原來的微幅增長改為下滑1%至6%,終止連續13年的增長勢頭。 據Digitimes報導,雖然台積電下調了2023年全年的營收預期,不過資本支出並沒有減少,仍維持在320億美元到360億美元的區間,顯示了台積電對長期的發展仍充滿信心。事實上,台積電下調幅度低於市場預期,有業界分析人士稱,除了匯率因素外,台積電一年前就全面啟動成本節約策略,加上現在延緩設備和材料進貨,其實已經對整個供應鏈產生影響。 台積電強調量產的3nm工藝已滿載,將貢獻全年營收約4%至6%。由於英特爾延後且減少了訂單,暫時只有蘋果下單而且月產量爬升緩慢,一旦蘋果新款產品買氣不足,3nm工藝帶來的收入可能會不及預期。市場擔心台積電下半年的增長動力過於依賴蘋果新款機型,風險太高,目前2023年全年的營收預期下調幅度偏於樂觀。 傳聞台積電現在的客戶里,有三家存在爆雷的可能,分別是不斷砍單的聯發科,以及高通和博通。這三間廠商一方面受到了全球智慧型手機需求低迷的影響,另一方面之前下單過猛導致庫存高漲。有業內人士認為,下半年台積電可能會再次下調2023年全年的營收預期。 台積電在晶圓代工領域的競爭對手,不少在2022年下半年就沒有再漲價了,甚至通過其他方式變相降價,但台積電2022年漲價了20%,2023年再漲6%,如果排除掉連續漲價帶來的額外收益,其實台積電現階段的訂單和營收下跌幅度並不小。 ...

台積電公布2023Q1財報:勉強達到預期,下調全年目標,終止連續13年增長勢頭

台積電(TSMC)昨天公布了2023年第一季度業績,顯示收入達到了5086.3億新台幣(約合人民幣1144.4億元),同比增長3.6%,環比下降18.7%。若以美元計算,收入為167.2億美元,同比下降4.8%,環比下降16.1%,這一數字勉強達到台積電此前的預期值(167億美元到175億美元之間)。 台積電在2023年第一季度的淨利潤為2069億新台幣(約合人民幣465.52億元),同比增長2.1%,攤薄後每股收益為新台幣7.98元(1.31美元每ADR單位),相比去年同期增長了2.1%。如果與2022年第四季度的財報比較,2023年第一季度的淨利潤下降了30%。 台積電在2022年第一季度的毛利率為55.6%,突破了55%,相比2020年的53%提高了不少;在2022年第二季度,台積電的毛利率提高到了59.1%,超出了其原來預估的56%到58%的區間;到了2022年第三季度,台積電的毛利率突破60%,來到了60.4%,另外營業利潤率為50.6%;到了2022年第四季度,台積電的毛利率繼續提升,達到了62.2%,而營業利潤率為52%。不過在2023年第一季度,台積電的毛利率和營業利潤率雙雙下降,分別降至56.3%和45.5%。 在2023年第一季度里,5nm和7nm工藝的出貨量均略微下降,分別占總收入的31%和20%,兩者相加達到了銷售金額的51%,先進工藝仍占據了超過一半的收入。目前在台積電的定義里,7nm或更先進的工藝稱為先進工藝。 台積電表示,2023年的營收表現受到了整體經濟形勢衰退和客戶因終端市場需求疲軟進行的調整影響,進入第二季後,預期台積電的整體業績會持續受到客戶庫存調整的影響。台積電預計2023年第二季度的收入將在152億美元到160億美元之間(假設新台幣兌換美元的平均匯率為30.4兌1),毛利率在52%至54%之間,營業利潤率在39.5%至41.5%之間。同台積電下調了2023年全年的營收預期,從原來的微幅增長改為下滑1%至6%,終止連續13年的增長勢頭。 ...

台積電2023H1表現低於預期,蘋果新款iPhone訂單量成為其全年營收的關鍵

台積電(TSMC)很快便會公布2023年第一季度業績,隨即也會舉行法人說明會。由於業績很可能沒有達到預期目標,加上未來一段時間半導體行業市況不明,業界普遍對台積電今年的運營狀況持悲觀看法,認為第二季度收入會繼續減少,路線圖和目標都需要修正。 據Digitimes報導,有半導體從業人員表示,台積電今年上半年的表現低於預期,如果下半年想有足夠的反彈和收入維持成長,蘋果新款iPhone機型的訂單量是關鍵。市場普遍預測,台積電第二季度的營收會再下降5%至9%,若不是在此之前強勢提高了報價,跌勢會更明顯。 目前台積電的客戶很多都選擇了砍單,而不是轉單,第二季度雖然有部分急單,但需求沒有太大的提升。面臨相同困局的還有三星,英特爾的情況也不會太好,壓力相當大。特別是英特爾,晶圓代工業務尚未起飛,很可能連續三個季度出現虧損。台積電今年全年的營收很可能與去年持平,即便下滑,跌幅也在個位數,相比三星和英特爾的情況要好得多。 據了解,英偉達和AMD都相當謹慎,即便在今年第三季度加單,數量也會比較有限。真正讓台積電踩雷的是聯發科和英特爾,前者因庫存較多且需求疲軟不斷修改訂單,後者一方面不斷縮小5/7nm製程上的合作規模,3nm製程的計劃也一拖再拖,成為了台積電2023年營收增長的主要障礙之一。 ...

EUV設備熱度降低,傳ASML首度遭遇砍單

如果有關心半導體工藝方面的信息,相信對EUV(極紫外光)並不會感到陌生,如果要推進到7nm或更先進的工藝製程,可以說ASML(阿斯麥)的EUV設備是必不可少的工具。在過去幾年里,EUV設備成為了眾多半導體製造商爭搶的對象,一直處於供不應求的狀態,為此ASML還提高了產量。 根據ASML去年公布的計劃,2025年至2026年的年產能將提高到90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。 此前有報導稱,由於半導體行情反轉、存儲器產業陷入困境、以及政策限制等影響,近期台積電(TSMC)已減緩其產品擴張計劃,使得全球半導體設備材料供應鏈如坐針氈。據Digitimes報導,前十大設備廠中,已有多家對2024年的業績展望趨於保守,目前已提前開始進行削減成本的計劃。 有些讓人意想不到的是,一直產能跟不上訂單節奏的ASML也開始受到了影響,其最大的客戶台積電開始砍掉部分EUV設備的訂單,有傳言稱比例達到40%,並將出貨時間延後,這也讓ASML明年的營收承受了更大的壓力。預計2023年下半年起,全球半導體設備銷售所受到的影響會逐漸浮現,大機率會低於預期,客戶減單效應將於2024年逐步顯現。 過去台積電、三星和英特爾等企業瘋狂爭搶EUV設備的熱度已經降溫,為縮小先進位程差距而不斷燒錢的三星和英特爾估計也會跟進台積電的做法。從長遠來看,ASML依然獨占EUV設備市場,最遲會在2026年半導體市況全面復蘇後,再恢復增長。 ...

傳台積電德國建廠模式確定:將於博世合資,以28nm車用特殊製程為主

近期台積電(TSMC)已放緩了在台灣工廠的產能擴張計劃,不過其海外新廠仍然按計劃推進。除了在建的美國和日本工廠外,台積電此前還派團隊多次到歐洲考察和商議,數月前已完成勘察。 據Digitimes報導,目前供應鏈已收到出貨評估通知,更為重要的是台積電已確立德國建廠模式。台積電位於日本熊本的工廠是與索尼及豐田旗下的DENSO採用合資的方式進行,德國工廠與之類似,合作的對象將是博世,這是全球最大的汽車零部件供應商。 由於海外擴產可能在成本、勞動法規和文化等方面產生諸多風險,台積電也制定了多套策略,其中取得當地政府基本水電、土地和減稅優惠為基本條件。除了位於美國的工廠因採用3/4nm先進位程,不得不採用獨資方式,其餘地區現在更多地以合資方式進行,以盡可能降低風險。 台積電日本工廠主要為配合蘋果的要求進行,索尼是iPhone的主要供應商,而DENSO是世界第二大汽車零部件供應商,作為第三大股東加入其中也是為了汽車晶片的供應考慮。該工廠原計劃採用22/28nm製程,未來會進一步提升至12/16nm製程,預定2024年末的月產能將提升至5.5萬片。 台積電接下來位於德國的工廠選址德勒斯登,主要面向汽車晶片使用的28nm特殊製程。據了解,博世承諾會承擔人力、工會和生產效率等方面的責任風險。不過由於近期半導體行業不景氣,台積電多處新廠建設花費甚巨,因此推遲了啟動建廠的計劃。 ...

台積電產能擴張計劃放緩:產能將重新分配,投產時間延後,規模或縮減

近日台積電(TSMC)公布了2023年3月的財報,顯示收入為新台幣1454.08億元(約合人民幣328.48億元/47.7億美元),低於上一個月的新台幣1631.74億元,環比減少10.9%,也不及去年同期的新台幣1719.67億元,同比減少15.4%。台積電的月度營收上一次出現同比下跌還是2019年的5月份,這次是時隔45個月再次同比下滑。 顯然過去幾個月半導體行業面臨的市場需求下滑及渠道庫存處於高位已影響到台積電,2023年第一季度的業績大機率低於預期,這也迫使台積電開始修改運營藍圖。據Digitimes報導,半導體供應鏈傳出消息,台積電在台灣高雄、南科、中科和竹科等多個地區的產能擴張計劃將全部放緩,產能也會重新進行分配。 台積電占據著過半的7nm及其以下產能,其高雄廠的7nm計劃已經暫緩,市場傳出28nm計劃也會推遲,所有建設中的工程全面減速,量產時間延長至2026年之後,先進封裝和測試的產能規模也會有所縮減。不過台積電位於美國亞利桑那州和日本熊本的新廠房將持續推進,前者因效率較低發生了不少問題,可能會有所延後。 台積電的新策略很可能會沖擊全球設備和材料供應鏈,不過在需求和通貨膨脹雙重壓力下,這種策略可以降低建設和設備折舊的成本,同時產能閒置的情況也會大大減少。相比之下,受沖擊嚴重的存儲器企業早已開始減產,SK海力士、鎧俠和美光去年就紛紛減產,即便像三星這樣規模的企業,堅持了數個月後也終於撐不住了。 盡管業界普遍預測需求會在2024年回升,但台積電平均產能利用率想要回到新冠疫情時期已比較困難。此前台積電還曾奔赴德國為設廠選址,不過由於經濟不景氣及多項補助條件仍有待商議,極大可能會推遲日程,與新加坡的洽談暫時也沒有進一步的進展。 ...

台積電2nm製程節點將於2025年按時投產,並准備名為N2P的增強工藝

去年台積電(TSMC)總裁魏哲家證實,N2製程節點將如預期那樣使用Gate-all-around FETs(GAAFET)電晶體,製造的過程仍依賴於現有的極紫外(EUV)光刻技術,預計2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批2nm晶片。 據相關媒體報導,摩根史坦利分享了來自供應鏈的消息,最新報告顯示台積電將在2025年開始大規模生產2nm晶片,這與其管理層過往提供的時間表一致。此外,台積電還在准備名為N2P的新工藝,與3nm製程節點的命名類似,這是N2的增強版,反映了生產工藝方面的改進。 台積電將2nm製程節點的生產放在了位於台灣新竹寶山的工廠,這里採用了台積電最先進的工藝,另外還在台中地區建設第二座工廠,稱為Fab 20,將分階段建造。據了解,N2P工藝將使用背面供電(BSPD)技術來提高性能,業界稱之為通矽孔(TSVs)的延伸,可以將不同晶片模塊堆疊在一起,相互粘合,新工藝將進一步提高晶片的能效。 雖然台積電的3nm製程節點很快將大規模生產,不過摩根史坦利認為台積電今年第二季度的收入仍將下降5%至9%,比起之前4%的跌幅擴大了,原因來自於智慧型手機晶片訂單的減少,同時將台積電2023年全年的預期收入從「小幅度增長」下調至「持平」。 ...

AMD受益於台積電「SoIC+CoWoS」封裝服務,英偉達明年或加入3D小晶片戰局

今年CES 2023上,AMD一次性推出了三款採用3D垂直緩存(3D V-Cache)技術的Zen 4架構桌面處理器,分別為Ryzen 9 7950X3D、Ryzen 9 7900X3D和Ryzen 7 7800X3D,目前均已上市。盡管近期PC市場低迷,但類似Ryzen 7000X3D系列這樣的高端產品仍然收到了不少PC發燒友和電競玩家的追捧。 AMD在Ryzen 7000X3D系列上取得的成功,背後得益於台積電3D Fabric先進封裝平台的支持,其3D V-Cache技術植根於Hybrid Bond概念的先進封裝,在高端晶片率先啟用台積電「SoIC+CoWoS」的封裝服務獲得了不小的收益。 據Digitimes報導,英偉達已開始對SoIC技術展開評估,在2024年至2025年的產品線更新計劃中或許會採用。有業內人士推測,英偉達會參考AMD的案例,將SoIC技術用於高端晶片。以台積電的用戶群來說,英偉達是在新技術選擇上較為保守的客戶,反而AMD近年來更為大膽、進取。 不少晶片設計公司都考慮像I/O晶片這部分沿用相對成熟的製程工藝,然後在核心部分採用最先進的製程工藝,再通過先進封裝將不同製程工藝的晶片整合,以降低成本。這就是Wafer-Level端的系統級封裝(SiP),台積電的SoIC正是處理這類Chip-on-Wafer、Wafer-on-Wafer的關鍵技術。 除了先進位程工藝外,市場上也開始關注到台積電的先進封裝技術,台積電在這兩者都處於領先位置。三星最近也加大了對於先進封裝和測試領域的投資,不過起步較晚,而且還要兼顧性能和良品率,仍有不少工作需要完善。 ...

行情好轉台積電醞釀部分製程二次漲價,驅動IC下月調價至多15%

經歷了近一年的需求大跌,全球半導體產業鏈都陷入了砍單、砍價、毀約賠款甚至由賺到虧的困境。近期低迷的半導體行情似乎出現好轉的跡象,像在暗黑的隧道中逐漸走到了盡頭,看到了一絲復蘇的曙光,部分廠商今年第二季度的產能利用率和營收有望止跌回升。 據Digitimes報導,晶圓代工廠除了營收和產能利用率有望早於預期回升外,坊間傳言台積電(TSMC)開始對今年下半年的行情走勢和產能情況進行評估,可能針對部分製程施行二次漲價,調高代工報價。如果台積電的策略奏效,會讓更多晶圓代工廠有信心跟進報價。 據了解,台積電28nm產能到今年年底依然會是滿載,而4/5nm等熱門產能的利用率也在逐漸回升。此前包括台積電在內的各大晶圓代工廠,都預計2023年第一季度的營收會出現下滑,同時對於市場回暖的時間點預期都相當謹慎保守。 更值得注意的是最早出現暴跌的驅動IC產業,隨著去庫存工作逐漸到了尾聲,報價也開始止跌。近期由於終端出貨增加,已經有客戶開始回補庫存或者為新品做准備,部分廠商出乎預期地調高了部分驅動IC的報價,漲幅在10%至15%。 當然,仍然有部分廠商仍面臨較為困難的局面,比如聯發科,雖然業績暫時穩住,但對於整個2023年的預期都很保守。另外諸如MCU等產業也較為艱難,一輪暴跌後,去庫存工作至今仍未完成。 ...

英偉達牽手台積電等合作夥伴:將AI技術導入2nm工藝,讓計算光刻加速40倍

英偉達在GTC 2023上宣布,將與台積電(TSMC)、阿斯麥(ASML)和新思科技(Synopsys)三大半導體行業巨頭合作,將加速運算技術引入到計算光刻領域,加速下一代晶片的設計和製造,並推出名為「cuLitho」的計算光刻庫。 計算光刻主要通過軟體對整個光刻過程進行建模和仿真,使用光掩模文件的數學預處理來調整光學光刻中的像差和效果,以優化光源形狀和光罩形狀,減小光刻成像與晶片設計差距,從而使光刻效果達到預期狀態,從而提高良品率。不過隨著晶片的製造工藝向3nm及以下發展,每個光罩的負擔呈指數級增長,使得晶片製造的難度加大。 目前計算光刻的過程也成為了晶片設計和製造領域中最大的計算負擔,大型數據中心需要7x24連續運作,每年消耗數百億CPU小時,去創建用於光刻系統的光罩,每年需要的資本支出和能源消耗量也十分地驚人。為此英偉達聯合台積電、阿斯麥和新思科技,歷時四年終於完成了計算光刻技術的一項重大突破,推出了cuLitho計算光刻庫,為下一代2nm工藝奠定了基礎。 英偉達表示,通過GPU而不是CPU運算,可以將計算光刻的效率提高40倍。利用cuLitho計算光刻庫,可以將工作負載轉換成GPU並行處理,使得500個NVIDIA DGX H100就能完成40000個CPU組成的系統所完成的工作。同時也可以大大減輕晶圓廠的負擔,每天僅需要原來九分之一的功耗就能生產之前三到五倍的光罩,原來需要兩周時間生產的光罩現在一夜之間就能進行處理。 從長遠來看,在AI技術的協助下,cuLitho計算光刻庫可以實現更好的設計規則、更高的密度和更高的產量。 ...

蘋果A17 Bionic性能提升幅度或低於預期:不超過20%,受台積電3nm工藝影響

近期涉及A17 Bionic的一些消息在網絡上流傳,甚至出現了疑似的泄露測試成績,之所以這麼受關注,一定程度上與蘋果首次引入台積電(TSMC)的3nm工藝有關。由於過去兩三年里,蘋果A系列SoC性能提升幅度較小,不少人都期待今年更換3nm製程節點後能有所改變。 工藝的領先是蘋果A系列SoC表現更優的關鍵點之一,高通今年計劃里的第三代驍龍8仍將採用台積電基於5nm製程節點的N4P工藝,存在一定的差距。不過據NotebookCheck報導,A17 Bionic最終的性能或許沒有之前爆料的那麼厲害,性能提升幅度不會超過20%。 據了解,這與台積電N3B工藝不能按計劃進行有關,由於FinFET方面的問題,良品率並沒有那麼好,使得蘋果降低了性能目標。有消息稱,FinFET不太適應4nm以下的電晶體,很可能迫使台積電做出一些改變。按照台積電的規劃,要到下一代2nm製程節點才會改用Gate-all-around FETs(GAAFET)電晶體。 雖然受到了製造工藝的影響,不過以蘋果在晶片設計方面的功底,相信A17 Bionic的表現也會讓人滿意的。傳聞高通第三代驍龍8在性能方面的提升幅度不小,甚至可能超出不少人的預期,或許多少能給蘋果製造一些壓力。 ...

2022Q4排名前十晶圓代工廠產值環比減少4.7%,預計2023Q1持續下滑

從2022年第二季度起,不少品牌客戶就開始進入了去庫存的階段,不過晶圓代工位於產業鏈上游,加上相當部分為長期合約,很難迅速調整,除了部分二三線晶圓代工廠較快作出反應外,直到去年第四季度才較為明顯地出現產能利用率減少的狀況。 根據TrendForce最新的統計數據,顯示2022年第四季度前十晶圓代工廠產值約為335.3億美元,環比減少4.7%,這是過去十四個季度以來的首次衰退。由於面對傳統淡季,加上整個經濟環境充滿了不確定性,預計2023年第一季度將持續下滑,跌幅會更大。 雖然有iPhone和Android新機型支撐,但台積電(TSMC)在2022年第四季度營收仍環比減少1%,為199.6億美元,市場占有率接近六成。由於二三線晶圓代工廠受到的沖擊更大,使得台積電的市場占有率爬升。目前7nm及以下的先進位程占台積電營收的54%,6/7nm的衰減被4/5nm的增長所抵消。 三星同樣受惠於iPhone和Android新機型的零部件,抵消了部分客戶去庫存及先進位程訂單的流失,2022年第四季度營收環比減少3.5%,為53.9億美元。三星面臨較大的問題是,7nm及以下的先進位程由於高通和英偉達轉移了新訂單,沒有足夠體量的新客戶去填補空缺,使其先進位程的產能利用率只能維持在約60%的較低水平,這將阻礙三星2023年營收的增長。 2022年第四季度聯華電子(UMC)的產能利用率和出貨量雙雙出現下降,營收約為21.7億美元,環比減少12.7%。格羅方德(GlobalFoundries)受惠於晶圓平均銷售單價、產品組合優化與非晶圓相關收入增加,2022年第四季度營收環比增長了1.3%,為21億美元,是前十里唯一營收正增長的企業,市占率也上升到了6.2%。中芯國際試圖通過降價增加訂單量,不過成效不大,出貨量和銷售單價都出現下跌,致2022年第四季度營收環比減少15%,約為16.2億美元。 ...

台積電2023年首季度產能利用率降至75%,3nm表現優於預期

受到市場持續數個月的供需反轉影響,半導體行業龍頭企業之一的台積電(TSMC)似乎也有點吃不消了,2023年第一季度6/7nm工藝的產能利用率下跌趨勢超乎預期,遭到了多個客戶的砍單。有消息稱,台積電4/5nm工藝和採用8英寸晶圓的成熟製程節點的需求也不達預期。 據Digitimes報導,受到6/7nm工藝的產能利用率拖累,台積電在2023年第一季度整體產能利用率出現了較大幅度的滑坡,降至75%左右。不過市場預計第二季度會止跌,然後緩慢回升,一方面得益於蘋果和英偉達等企業的新訂單,另一方面代工價格上漲6%也有助於台積電上半年的業績。 先進工藝方面,台積電的6/7nm工藝產能利用率在去年第三季度末就已跌至90%以下,隨著聯發科和AMD等多家客戶砍單,11月跌至60%,到本月末將不足40%;4/5nm工藝的跌勢也是逐個月變得更明顯,去年10月產能利用率還是100%,現在已跌至75%;報價2萬美元的3nm工藝倒是有點出乎意料,表現逐月變好,12月末產能利用率僅有20%左右,到了這個月就接近50%,無論投片、出貨還是良品率都優於預期,這主要得益於蘋果的訂單。 在產能利用率止跌回升及全面漲價6%的幫助下,台積電今年上半年的業績跌幅應該會收窄,整體業績表現會優於此前市場的預期。 ...

英特爾CEO回擊3nm延期的傳言:強調Arrow Lake等產品都將在2024年發布

此前有報導稱,隨著近期開始執行的成本削減計劃,加上PC市場持續疲軟及AMD咄咄逼人的攻勢,英特爾的產品路線圖也在頻繁地修正,已通知台積電(TSMC),將推遲3nm訂單至2024年第四季度,用於Arrow Lake所需要的GPU模塊。這不免讓人擔心,英特爾將推遲採用3nm工藝新品的發售。 近日英特爾CEO帕特-基爾辛格(Pat Gelsinger)回應了有關Intel 3和台積電N3工藝延期的傳言,在重新劃分資本配置的會議上,再次強調至今宣布的所有採用3nm級別工藝的產品都將在2024年發布,目前這些項目都在有序地進行當中。 截至目前,英特爾已經披露了三款採用3nm級別半導體工藝技術製造的產品,分別是Arrow Lake、Granite Rapids和Sierra Forest。Arrow Lake也就是第15代酷睿,Granite Rapids是基於性能核的至強處理器,而Sierra Forest則是基於能效核的至強處理器。 對於採用台積電代工的3nm模塊,情況比較復雜一些。英特爾原先打算在下一代Meteor Lake上引入台積電N3工藝,用於製造GPU模塊,於今年內發布,不過隨後改成了N5工藝,使得N3工藝延後到之後的Arrow Lake上。英特爾的選擇和台積電N3工藝本身沒什麼關系,後者顯然在3nm級別上經驗更豐富,而且在去年已宣布量產。 ...

台灣旱情再起,面板和晶圓代工廠拉起「缺水危機」警報

前兩年台灣遭遇持續乾旱,水庫的水位不斷下降,使得當地主管部門實施更嚴格的管控措施,以控制各個工業園區的用水量。像台積電(TSMC)這樣的用水大戶,先是花大價錢買水再使用水罐車運水,然後挖井取水,最後甚至決定建造廢水處理中心,減少對外部水源的依賴。 時隔兩年,似乎又有新的旱災。據Digitimes報導,近期台灣南部地區拉起了水情警報,包括台南市工業區和科學院在內的區域,都將實施節水10%,非科學園區及工業區的每月用水量1000度以上工業用戶,同樣需要節水10%。晶圓代工和面板生產均屬於高用量用水的產業,限制用水將對生產安排產生影響。 據不完全統計,這片區域內有台積電12英寸的Fab 14和Fab 18,8英寸的Fab 6,以及先進封測二廠;聯華電子建有12英寸的Fab 12A;宏捷科技也有兩座6英寸砷化鎵晶圓廠。目前台積電自建的廢水處理中心為0.5萬CMD(噸/每日),預計到這個月底將增加至1萬CMD,整個台南園區的再生水使用量可達到1.8萬CMD。 相比於兩年前,無論主管單位還是工廠都有了應對的經驗,應對方案也更科學,加上廠商針對旱情早有準備,短時間內應該不會有太大的影響。比如面板大廠群創光電就表示,已事先做了規劃,包括了節水設備投資、啟用再生水、租用移動式水回收系統等,完全可以彌補10%節水率造成的用水空缺。 ...

英特爾推遲台積電3nm訂單,延後至2024Q4用於Arrow Lake

英特爾從今年的Meteor Lake開始,將採用模塊化設計,除了使用自己新的Intel 4工藝,還會利用台積電(TSMC)的工藝製造包括GPU在內的其他模塊。不過隨著PC市場需求下降,去年就曾傳出英特爾CEO帕特-基爾辛格(Pat Gelsinger)就與台積電的高層會晤,討論修改3nm的外包生產計劃。 據DigiTimes報導,隨著近期開始執行的成本削減計劃,加上PC市場持續疲軟及AMD咄咄逼人的攻勢,英特爾的產品路線圖也在頻繁地修正,新品上市時間不可避免地出現延後。作為業界舉足輕重的巨頭,英特爾一舉一動對供應鏈都有很大的影響。有消息人士透露,英特爾已通知台積電,將推遲3nm訂單至2024年第四季度,用於Arrow Lake所需要的GPU模塊。 此前就有報導稱,Meteor Lake不會有台積電N3工藝製造的模塊,而是換成N5工藝,EU數量也由原計劃的192個減少到128個,要等到Arrow Lake,也就是第15代酷睿才會引入N3工藝。從目前的情況來看,英特爾確實有可能改變了原來的計劃。 英特爾在去年公布2022年第三季度財報的時候,就宣布了一項成本削減及效率提升的計劃,至2025年最多可削減100億美元的成本。過去一段時間已看到英特爾取消了以色列價值約2億美元的IDC21項目,以及擱置了俄勒岡州希爾斯伯勒價值約7億美元的新研發中心計劃。同時英特爾還希望通過裁員並取消部分產品, 以提高效率和利潤。 英特爾2022年第四季度財報顯示總收入為140億美元,同比下降32%,這是其2016年以來最低的季度收入。同時淨虧損為6.64億美元,同比下跌了114%,這幾乎是英特爾有史以來最大的單季度虧損。英特爾預計2023年第一季度將繼續虧損,這意味著將出現30年來首次連續兩個季度虧損。 ...

蘋果已獲得台積電N3工藝全部產能,將用於生產A17 Bionic和M3晶片

N3作為台積電(TSMC)在3nm製程節點的首個工藝,或許產能很快就會爬升。今年蘋果將帶來A17 Bionic和M3晶片,都會選擇採用N3工藝,其中前者將用於iPhone 15 Pro和iPhone 15 Pro Max,後者則用在第四季度推出的新款Macbook機型上。 據DigiTimes報導,有供應鏈的消息人士表示,蘋果已獲得了台積電N3工藝的全部產能,進一步鞏固了旗下晶片在半導體工藝方面的領先位置。消息源沒有透露具體的金額,不過蘋果很可能同意了台積電的漲價要求,以溢價支付獲得了目前全部的供應。由於英特爾延後了部分晶片的計劃,蘋果幾乎沒有任何競爭對手去爭奪台積電N3工藝的產能。 目前電子消費端市場低迷,雖然蘋果iPhone和Mac產品線每年的銷量很客觀,但要下定決心將台積電N3工藝產能全包也是一個謹慎的商業決定。很快台積電就會公布第二代3nm製程節點工藝,也就是N3E,以獲得更多的客戶。傳聞高通和聯發科都有意採用台積電的3nm工藝,或許會是下一個目標。 三星很努力地想提高3nm GAA工藝的產量,以吸引客戶離開台積電,但似乎成效不大,暫時沒有任何的進展。雖然曾有報導稱,已經有多個客戶對三星3nm GAA工藝產生興趣,用在未來的產品中,不過即便有意向也不會馬上生產,大量交付的時間最早也要等到2024年初。 ...

晶圓代工首季毛利率大跌,將退回到2021年水平

疫情爆發以後,半導體產業供需改變等相關因素帶動下,晶圓代工廠的毛利率有明顯的拉升,扭轉了以往低於IC設計產業的不合理情況。要知道過往不少晶圓代工廠,毛利率也就在20%到30%的水平。隨著過去幾個月經濟增長放緩、市場需求下降、通貨膨脹和庫存較多等各方面原因,晶圓代工廠的毛利率難以維持高位,紛紛開始下滑。 據DigiTimes報導,台積電2023年第一季度毛利率預計會下降到53%到55%,聯華電子和世界先進的毛利率低於預期,突破不了50%大關。從2022年中旬開始,供應鏈陷入了砍單、延遲出貨、殺價和取消長約的混亂局面,連鎖效應使得產業陷入了跌勢,估計要到2023年第二季度才可能止跌。 台積電在2022年的毛利率達到了59.6%,其中第四季度更是達到了驚人的62.2%,不過進入2023年以後就出現了反轉;聯華電子在2022年第三季度業績創下新高後,第四季度產能利用率和業績開始下滑,毛利率從47.4%降至42.9%,今年第一季度估計還會大跌至34%至36%之間;世界先進的最高點是在2022年第二季度,毛利率沖至49.97%,下半年就進入了跌勢;力積電的毛利率在去年上半年曾突破50%,2022年第二季度達到了51.15%,同樣下半年轉跌,預計今年第一季度僅為34.8%。 台灣作為晶圓代工的重鎮,很大程度反映了行業的情況。此外,像中芯國際和GlobalFoundries(格羅方德)等業界頗有分量的晶圓代工廠,2023年第一季度的毛利率預計都有顯著回落。反觀各個IC設計公司,可以選擇以小博大,小本錢也可能有豐厚的經營成果。比如近期備受打擊的IC設計公司聯發科,在庫存水平較高的情況下仍充滿信心,預計2023年第一季度毛利率仍控制在47.5%左右。 目前台積電已將長期毛利率的目標調整至53%,這已經是很高的水平了,預計部分晶圓代工廠在2023年的毛利率會回到新冠疫情前的水平,也就是20%到30%左右。 ...

巴菲特大幅度減倉台積電,減持幅度達86%

近日,巴菲特旗下的伯克希爾哈撒韋公司向美國證券交易委員會(SEC)提交了一份名為「13F表格」的新文件,顯示增持美國建材商路易斯安娜太平洋建築公司、媒體及娛樂公司派拉蒙全球和蘋果的股票,同時減持台積電、美國合眾銀行、紐約梅隆銀行、動視暴雪、雪佛龍等公司的股票。 據Wccftech報導,伯克希爾哈撒韋公司在去年第四季度減持了台積電5176.8萬股,減持幅度達86%,持倉市值也從41億美元跌至6.18億美元,直接跌出了其前十持倉股。伯克希爾哈撒韋公司去年第四季度減持的股票里,有五隻減持幅度達到了兩位數,而台積電是其減持幅度第二大的股票。 去年伯克希爾哈撒韋公司建倉台積電一度成為頭條新聞,不過僅僅過了一個季度,就大幅度減持了台積電的股票,這似乎與其過去長期投資和持續增持的投資策略相悖。據推算,伯克希爾哈撒韋公司大約以68.5美元每股的價格買入台積電的股票,並以74.5美元每股的價格賣出。 雖然持股時間只有一個季度,不過還是「小賺」了一筆。去年11月,台積電在美股的股價已觸及近兩年來的低點,不過進入2023年以後漲勢兇猛,2月14日收盤價為每股97.96美元。從這個角度看,伯克希爾哈撒韋公司出售得似乎早了一些。 由於市場需求疲軟,加上行業庫存水平較高,不少分析師和機構並不看好台積電今年第一季度的業績表現,認為其短期前景也不太樂觀,這種趨勢將延續到今年下半年才有望好轉。 ...

台積電宣布開放學界使用16nm FinFET技術:培養半導體人才並推動學術創新

台積電(TSMC)宣布,推出大學FinFET項目,目的在於培養未來半導體晶片設計人才並推動全球學術創新。台積電將與亞洲、歐洲、及北美的服務夥伴攜手合作,以支持教學用途及測試晶片的研究項目。 根據該項目的內容,台積電將開放大學院校師生和學術研究人員使用FinFET(鰭式場效應電晶體)技術的製程設計套件(PDK),將晶片設計和學習的經驗提升到16nm的FinFET技術。同時該項目也會提供給大學院校的晶片研究人員使用N16和N7製程的多項目晶圓(Multi-Project Wafer,MPW)服務,將具有影響力的創新研究加速導入實際用途。 台積電將向大學院校提供以下資源,包括: 以台積電N16製程為主的教學用設計套件,包括教育設計案例、訓練資料、以及教學影片,引領學生從傳統平面式電晶體結構進入到鰭式場效應電晶體結構。 針對具有影響力的研究項目,包括應用於邏輯、類比與射頻的研究設計,台積電提供N16和N7製程設計相關套件,支持通過MPW服務生產的測試晶片。 台積電的開放創新平台(Open Innovation Platform)是業界最完備且充滿活力的設計生態系統,以支持台積電的技術和生產製造。參與開放創新平台的台積電合作夥伴也會對大學FinFET項目提供支持,有興趣的學術機構也可以通過台積電提供的網址聯系當地的合作夥伴。 ...

預計2023年晶圓代工產值同比減少4%,衰退幅度甚於2019年

過去一段時間里,各大晶片設計企業已經減少了在晶圓代工廠的訂單,而且砍單的趨勢從第一季度蔓延到了第二季度,涵蓋了成熟工藝到先進工藝的各個製程節點,導致未來幾個月晶圓代工廠的產能利用率都不太理想。TrendForce表示,2023年晶圓代工產值將同比減少4%,衰退幅度甚於2019年。 據了解,第二季度部分製程節點的訂單甚至不如第一季度,沒有明顯的回流跡象,下半年部分庫存修正較早的產品或許為了年底的銷售,會出現補單的情況,但真正影響訂單量的仍然是全球的政治和經濟走向。隨著國際形勢的變化,晶圓代工供需情況會逐漸向地區性發展,使得下半年產能利用率出現更為明顯的分歧,最終產能復蘇取決於庫存水平、傳統銷售旺季和供應鏈的分配等因素。 8英寸晶圓方面,由於智慧型手機、電視、筆記本電腦等消費終端的需求逐漸轉入淡季,去庫存緩慢進一步影響PMIC和MOSFET等產品訂單,第一季度和第二季度的產能利用率沒有明顯的復蘇跡象。12英寸晶圓的成熟製程節點在2023年上半年的產能利用率大概在75%到85%,28nm產能利用率優於55/40nm等製程節點,消費端產品需求低於工業端。12英寸晶圓的先進位程節點在2023年上半年的產能利用率也不甚理想,台積電(TSMC)需要靠5nm新品拉動,三星8nm或以下的產能利用率都處於低水平。 晶圓代工中長期的供需狀態逐漸轉向各區多元產能布局,半導體逐漸成為戰略資源。近年來全球有超過20座晶圓廠在建造中,包括中國6座、台灣5座、美國5座、歐洲4座、日韓和新加坡4座。除了商業和成本因素外,還考慮到政府補助和本地化生產的需求。 ...

黑客入侵航空公司資料庫,台積電創始人和董事長個人信息被竊取

近日,中華航空的資料庫被黑客入侵,竊取了大量會員的詳細信息,包括了眾多的名人、藝人、企業家和媒體人士等,並發布到網上。黑客沒有向航空公司索取贖金,而是鼓勵被影響的人士向監管機構投訴,以確保華航遵守數據保護法,同時向相關人士支付賠償金。 據相關媒體報導,中華航空已發表聲明,證實了此次事件,不過暫時無法確定泄露數據的來源,但已進行了初步的調查。結果顯示,並不是所有泄露的詳細信息都與航空公司資料庫內的資料匹配,這讓中華航空猜測,也許泄露的來源不是航空公司內部,而是其他地方。 黑客組織宣稱,手上掌握了高達300萬客戶的詳細信息,接下來會繼續定期發布。 據了解,台積電創始人張忠謀和董事長劉德音的個人信息都在黑客首批泄露的名單里,其他知名人士還有富士康的創始人郭台銘、聯發科董事長蔡明介、台達電子董事長海英俊、林志玲、徐若瑄和楊謹華等。 中華航空表示,已經檢查了所有系統,以確保不存在安全漏洞,並且向其他會員發送了電子郵件,要求定期更改密碼。中華航空也受到了黑客組織的信件,告知了本月初的竊取操作。 ...

台積電可能降低3nm代工價格,以吸引更多客戶下單

盡管台積電(TSMC)的3nm製程節點在性能和功耗方面都會帶來好處,但初代N3工藝的高昂報價讓許多晶片設計公司望而卻步,傳聞報價突破2萬美元。目前台積電初代N3工藝唯一客戶是蘋果,今年上半年的產能也只是緩慢爬升。 據Wccftech報導,台積電可能會降低3nm製程節點的代工報價,包括後續的N3E、N3P和N3X,以吸引更多的客戶使用,比如AMD、英偉達、高通和聯發科等。要實現這一目標需要一些時間,而且要冒一點風險,不過可以為蘋果以外的客戶提供了更多的機會。 根據配置的不同,一台EUV光刻機的成本在1.5億美元到2億美元之間。目前N3工藝的EUV光罩層數為25層,今年下半年量產的N3E工藝的EUV光罩層數從25層減少到21層,良品率也更高一些,可以更好地控制製造成本。有市場分析機構表示,今年下半年台積電在HPC、智慧型手機和ASIC的主要客戶可能會留在N4/N5,會選擇N3E作為切入3nm製程節點的首次嘗試,而所謂的N3B將主要限於蘋果的產品。 根據台積電近期的報告,與現有的N5工藝相比,N3工藝在用於緩存的SRAM單元方面幾乎沒有縮減,隨著新一代高性能晶片對緩存的需求增加,其尺寸很可能會增加,同時成本也在增加。AMD可能會在Zen 5架構CPU和RDNA 4架構GPU上採用3nm工藝,英偉達的Blackwell架構GPU也可能會這麼做,不過也要等到2024年下半年。 ...

台積電公布2022Q4財報:毛利率攀升至62.2%,預計下季度收入減少超過10%

台積電(TSMC)今天公布了2022年第四季度業績,顯示收入達到了6255.3億新台幣(約合人民幣1391.18億元),同比增長42.8%,環比增長2%。若以美元計算,收入為199.3億美元,同比增長26.7%,環比下降1.5%,符合原來預期的199億美元到207億美元之間的區間。 台積電在2022年第四季度的淨利潤為2959億新台幣(約合人民幣658.08億元),同比增長78%,攤薄後每股收益為新台幣11.41元(1.82美元每ADR單位),相比去年同期均增長了78%。如果與2022年第三季度的財報比較,2022年第四季度的淨利潤增長了5.4%。 台積電在2022年第一季度的毛利率為55.6%,突破了55%,相比2020年的53%提高了不少。在2022年第二季度,台積電的毛利率提高到了59.1%,超出了其原來預估的56%到58%的區間。到了2022年第三季度,台積電的毛利率突破60%,來到了60.4%,另外營業利潤率為50.6%。時間來到2022年第四季度,台積電的毛利率繼續提升,達到了62.2%,而營業利潤率為52%,也高於上一個季度,同時兩者均高於預期。 在2022年第四季度里,5nm工藝的出貨量持續增長,占總收入的32%,7nm工藝出貨量為22%,兩者相加達到了銷售金額的54%,先進工藝占據了超過一半的收入。相比上個季度,先進工藝占比是一樣的,只是5nm工藝增長了,7nm工藝下降了,兩者增減部分相互抵消。目前在台積電的定義里,7nm或更先進的工藝稱為先進工藝。 台積電表示,終端市場需求疲軟加上客戶庫存調整抑制了台積電第四季度的業務,進入2023年第一季度後,由於宏觀經濟狀況仍然不佳,台積電業績會進一步受到影響。台積電預計2023年第一季度的收入將在167億美元到175億美元之間(假設新台幣兌換美元的平均匯率為30.7兌1),毛利率在53.5%至55.5%之間,營業利潤率在41.5%至43.5%之間。台積電在2023年削減了資本支出,預算在320億美元至360億美元。 ...