Home Tags 三星

Tag: 三星

Naver從英偉達轉向三星,將購買7.52億美元「Mach-1」AI晶片

此前三星電子DS部門負責人慶桂顯(Kye Hyun Kyung)在第55屆股東大會上宣布,將於2025年初推出人工智慧(AI)晶片Mach-1,正式進軍AI晶片市場,希望能在快速增長的人工智慧硬體領域與其他公司進行競爭。 據KED Global報導,韓國最大的搜索平台Naver決定向三星訂購價值7.52億美元的「Mach-1」人工智慧(AI)晶片,以替代部分英偉達的解決方案,從而減少對英偉達的依賴。由於供應短缺及價格上漲,Naver在去年10月就曾選擇使用英特爾的產品代替英偉達的GPU。 據了解,Naver的Mach-1晶片訂單數量大約在15萬到20萬顆,三星計劃在今年年底向其供應首批Mach-1晶片,未來搭載Mach-1晶片的伺服器會用於名為「Naver Place」的AI地圖服務。有業內人士稱,Mach-1晶片的單價僅為英偉達H100的十分之一,只要性能足夠接近就已經成功了。Naver還希望能與三星展開深度合作,設計人工智慧加速器,最終推出一款性能是H100計算卡8倍的產品。 Naver的大額訂單也引起了同行的關注,不少大量部署人工智慧硬體的公司都渴望有更多的替代方案,以取代英偉達近乎壟斷的地位。三星也在向其他科技公司推銷Mach-1晶片,傳聞包括了Meta和微軟。 ...

傳三星正在測試Exynos 2500,CPU和GPU性能均優於第三代驍龍8

此前有報導稱,三星正在新款Exynos晶片的開發上全力以赴,即便與高通達成了新的協議,也沒有妨礙其自主研發的努力。三星希望明年所有Galaxy S系列機型都能搭載Exynos晶片,也就是內部被稱為「Dream Chip」的Exynos 2500。 近日有網友透露,三星正在對Exynos 2500進行測試,有著不錯的性能表現,CPU和GPU都能輕松戰勝高通第三代驍龍8移動平台,只是NPU方面的情況不太確定。由於目前人工智慧(AI)市場火熱,將其引入到智慧型手機也是大勢所趨,相信不少用戶也會關注NPU的表現。 由於今年高通會帶來第四代驍龍8移動平台,採用定製的Oryon內核,性能將會有進一步的提升,Exynos 2500不一定能在競爭中占到便宜。有傳言稱,三星正在測試不同架構的Exynos 2500,雖然都會採用Cortex-X5內核,但是使用過多的Cortex-X5內核可能會導致功耗失控,如何調整Cortex-X5、Cortex-A730和Cortex-A520內核的配置數量也是三星需要考慮的點,不過10核心的CPU架構似乎沒有太大疑問。 Exynos 2500另外一項重要的升級是支持速度更快的LPDDR5T內存,作為LPDDR5X基礎上改進的產品,速率提升至9.6Gbps,是目前世界上最快的商業化移動DRAM。此外,Exynos 2500很可能與Exynos 2400一樣,選擇採用應用扇出型晶圓級封裝(FoWLP),更好地控制晶片發熱,以提供更強的多核性能表現。 ...

三星Galaxy Book5 Pro搭載Lunar Lake處理器:樣品主頻只有2.8GHz

Intel計劃在今年年底推出代號為Lunar Lake的低功耗處理器,它將取代現在Meteor Lake的低功耗產品線,而高性能型號則會交由Arrow Lake接管。根據此前泄露的信息,Lunar Lake擁有四個基於Lion Cove架構的P-Core和四個基於Skymont的E-Core,整合Xe2-LPG架構的核顯。 目前三星的下一代Galaxy Book5 Pro出現在SiSoftware Sandra的資料庫裡面,它搭載的正好是Lunar Lake處理器,現在的Galaxy Book4搭載的是Meteor Lake架構的酷睿Ultra處理器。 處理器的型號是Intel(R) 0000 1.60GHz,說明還是非常早期的版本,由於取消了超線程,所以是8核8線程,基礎頻率1.6GHz,睿頻頻率2.8GHz,是一顆頻率並不高的工程樣品,緩存方面,軟體識別出來是5*2.5MB的L2緩存和2*12MB的L3緩存,軟體大機率是沒把E-Core集群的L2緩存容量顯示出來,但可以看出Lion Cove單個核心擁有2.5MB的L2,至於L3就比較有趣了,此前Intel處理器的L3緩存都是一整塊的,現在變成了2塊12MB的,緩存結構明顯有改動。 核顯方面,Lunar Lake使用的是基於Battlemage的Xe2-LPG,屬於Arc系列品牌,擁有4個Xe核心64個矢量引擎,共512個著色器,頻率未1.85GHz,擁有8MB L2緩存。 三星的這款Galaxy Book5 Pro可能是首批搭載Lunar Lake的筆記本之一,預計會隨處理器一同發布,但具體發布時間目前尚不清楚。 ...

三星已准備好GDDR7晶片,速率28/32Gbps產品頁面已上線

本月初,JEDEC固態存儲協會正式發布了JES239 Graphics Double Data Rate 7,即GDDR7的標准。隨後在英偉達GTC 2024上,三星和SK海力士都展示了自己的GDDR7晶片,這是為接下來基於Blackwell架構的Geforce RTX 50系列顯卡所准備的,未來也會用於人工智慧、高性能計算和汽車等應用。 有網友發現,目前速率為28Gbps(頻率3500MHz)和32Gbps(頻率4000MHz)的GDDR7產品頁面已經在三星官網上線,對應的晶片型號分別為K4VAF325ZC-SC28和K4VAF325ZC-SC32,均為16Gb(2GB)的模塊。信息顯示,兩款晶片都已向客戶提供了樣品,意味著很快會進入批量生產階段。 與現有GDDR6使用的NRZ/PAM2或GDDT6X的PAM4信號編碼機制不同,GDDR7採用的是PAM3信號編碼機制。NRZ/PAM2每周期提供1位的數據傳輸,PAM4每周期提供2位的數據傳輸,而PAM3每兩個周期的數據傳輸為3位。 其實早在去年7月,三星就宣布完成了業界首款GDDR7晶片的開發工作,每個數據I/O接口的速率達到了32Gbps。三星承諾能效方面GDDR7相比GDDR6會有20%的提升,為此引入了幾項新的技術,比如具有高導熱性的環氧模塑化合物(EMC),讓GDDR7封裝的熱阻降低了70%,以確保有源組件不會過熱,在高速運轉時仍有穩定表現。 ...

Galaxy Z Flip 6或採用鈦金屬框架,將提供第三代驍龍8和Exynos 2400雙版本

今年三星將帶來新款折疊屏智慧型手機,包括Galaxy Z Fold 6和Galaxy Z Flip 6,預計會在7月發布。隨著時間的臨近,也不斷傳出有關新機型的消息,比如機身設計和選擇搭載的平台。 據Wccftech報導,Galaxy Z Flip 6將採用鈦金屬材質的框架,而Galaxy Z Fold 6則採用鋁金屬材質的框架。有傳言稱,三星正在考慮今年推出更便宜的Galaxy Z Fold機型,可能稱為Galaxy Z Fold 6 FE,計劃在9月或10月發布,也將採用鋁金屬材質的框架。 最新消息指出,Galaxy Z Flip 6將提供高通第三代驍龍8和自家Exynos 2400雙版本,這與Galaxy S24/S24+的情況類似,針對不同地區銷售不同的版本。如果消息屬實,這將是Exynos晶片首次用於Galaxy...

中國電競顯示器銷量飆升36.4% 小米取代三星奪前十

快科技3月26日消息,根據洛圖科技(RUNTO)最新發布的報告顯示,2024年2月,中國大陸電競顯示器線上市場(不含抖快等內容電商)銷量達到42萬台,同比增長36.4%。 盡管2月份由於春節假期錯位影響,整體顯示器銷量出現了同比下滑,但電競市場卻逆勢增長超過30%,充分展現了電競市場的強勁發展勢頭。 2024年2月 中國大陸電競顯示器線上市場TOP品牌銷量及變化 在品牌排名上,AOC、HKC和SANC繼續保持前三名的穩固地位,而KTC則成功超越泰坦軍團,升至第四名。 接下來的排名分別是泰坦軍團、華碩、科睿、靈蛇、飛利浦和小米。 值得注意的是,小米取代了三星,擠入前十名。小米在2月份新上市的Mini LED電競顯示器產品P27QBA-RGP表現出色,為其銷量增長提供了有力支撐。 來源:快科技

三星Galaxy A55開啟預購,配備金屬邊框,售價2999元起

2024年3月24日,三星Galaxy A55正式上架電商平台開啟預購活動,目前預購可獲贈25W原裝充電器以及1年電池隨心換服務。 三星Galaxy A55,京東商城地址:點擊前往>>> 三星Galaxy A55,天貓商城地址:點擊前往>>> 在核心配置方面,官方介紹這款手機搭載了一顆經過優化的八核處理器,但並未透露具體型號。根據大部分媒體猜測,三星Galaxy A55的SoC為Exynos 1480,這款晶片主頻最高可達2.75GHz,採用三星4LPP工藝製造。這款SoC的CPU架構為4×Cortex-A78(2.75GHz)+4×Cortex-A55(2.05GHz),GPU則採用了Xclipse 530架構。 螢幕方面三星Galaxy A55配備了一塊6.6英寸的MOLED顯示屏,解析度為1080 x 2340,峰值亮度1000尼特,支持120Hz自適應刷新率。 影像系統方面三星Galaxy A55搭載的後置影像配置為5000萬像素主攝(f/ 1.8,OIS,支持自動對焦)+1200萬像素超廣角(f/ 2.2,123°視場)+500萬像素微距(f/ 2.4),前置攝像頭搭載了3200萬主攝(f/ 2.2)。 續航充電方面三星Galaxy A55配備了5000mAh電池,最高支持25W有線快充。 特色功能方面,三星Galaxy A55支持IP67級防塵防水、搭載了samsung Knox vault技術保護用戶隱私安全、機身採用了金屬邊框、螢幕使用康寧大猩猩玻璃面板。 在機身顏色上,三星Galaxy A55提供了淺瓷藍、冰螢紫、檸柚黃、深宇藍四款配色供用戶選擇,各內存版本具體售價如下: 三星Galaxy A55: 8GB+256GB:2999元 12GB+512GB:3299元 ...

AMD下一代CPU/GPU將引入三星4nm工藝,或首先用於生產低端APU

自從出售了晶圓廠以後,AMD在過去的十多年裡,基本都依賴台積電(TSMC)和GlobalFoundries(格羅方德)為其製造晶片。不過很早之前就有報導稱,AMD或許會與三星建立新的合作關系,計劃採用三星的4LPP工藝,製造Chromebook使用的APU。 近日有網友透露,AMD將採用三星4nm工藝製造客戶端CPU和GPU晶片,首先引入的是低端APU晶片,並計劃中長期內生產GPU晶片。 AMD計劃今年到明年推出一系列APU,主要針對移動平台,包括Strix Point、Kracken Point和Fire range等,有著較大的產能需求,且低端晶片對成本也更加敏感。為了爭取更多訂單,三星都傾向於給予更大的折扣優惠。之前曾傳出,新一代Steam Deck將搭載AMD新款定製晶片,名為「Sonoma Valley」,採用Zen 5c架構內核,並選擇三星4nm工藝。 GPU方面,AMD接下來會引入RDNA 3+架構,比如用於Strix Point,暫時不清楚是否會有獨立顯卡採用的相同架構晶片。至於RDNA 4架構GPU,應該還會是繼續由台積電代工。有消息稱,AMD最初計劃讓三星為索尼PlayStation 5 Pro生產APU,但是後來取消了,不知道是性能或者功耗的問題,還是良品率導致成本的問題。 ...

傳三星3nm GAA工藝良品率已提升兩倍,但仍然不如台積電

三星在2022年6月末宣布,其位於韓國的華城工廠開始生產3nm晶片,採用全新GAA(Gate-All-Around)架構電晶體技術。不過在量產以後,三星的3nm GAA工藝的良品率一直都不是那麼理想。 近日有網友透露,三星初期3nm工藝的良品率最初徘徊在10%至20%之間,經過多方努力後,最近提升了兩倍以上,但是仍然不能與競爭對手台積電(TSMC)的3nm工藝相比,良品率依然處於落後。 去年就曾傳出三星3nm工藝良品率提升的消息,指出可以提升至60%的水平,與70%的及格線始終有一段距離。事實證明,這種說法可能還是過於樂觀,畢竟包括英偉達在內的眾多晶片設計公司都前去了解,表達了意向,只是最後都沒有選擇下單,至少說明良品率還是很不穩定的。三星遲遲不能提升3nm工藝的良品率,也使得高通取消了第四代驍龍8的雙代工廠計劃,至少未來一年仍完全依賴台積電,新的代工策略被迫推遲至2025年。 此前三星公布了到2027年的製程技術路線圖,列出了2022年6月量產SF3E(3nm GAA,3GAE)以後的半導體工藝發展計劃,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原計劃,今年三星將帶來第二代3nm工藝技術,也就是SF3,使用「第二代多橋-通道場效應電晶體(MBCFET)」,已經在試產。 傳聞三星對第二代3nm工藝技術期望很高,功耗、性能和面積(PPA)指標甚至能與台積電N3P工藝相媲美,與之前的4nmFinFET工藝相比,能效和密度有著20%至30%的提升。 ...

三星計劃推出Mach-1:輕量級AI晶片,搭配LPDDR記憶體

三星電子DS部門負責人慶桂顯(Kye Hyun Kyung)在第55屆股東大會上宣布,將於2025年初推出人工智慧(AI)晶片Mach-1,正式進軍AI晶片市場。三星希望,能夠在快速增長的人工智慧硬體領域與其他公司進行競爭,比如英偉達。 據SeDaily報導,Mach-1屬於ASIC設計,被定為為輕量級人工智慧晶片,搭配LPDDR內存產品。其擁有一項突破性的功能,與現有的設計相比,能顯著降低了推理應用的內存帶寬需求,僅為原來的八分之一,降低了87.5%。三星認為,這一創新設計將使Mach-1在效率和成本效益方面具有競爭優勢。 隨著市場對人工智慧設備和服務的需求不斷增加,讓三星堅定了進軍人工智慧晶片市場的決心。不過有三星這樣想法的企業並不在少數,預計未來幾年人工智慧晶片市場的競爭會加劇,同時也進一步推動了行業的創新。雖然英偉達目前在該領域占據了主導地位,但三星有著完善的半導體供應體系,而且具備先進的半導體製造技術,某種程度上很可能使其成為一個強大的競爭者。 據了解,Mach-1目前已進行了基於FPGA的技術驗證,正處於最終的SoC物理設計階段,其中包括了放置,路由和其他布局的優化,預計將於今年底完成製造過程。 ...

SSD等漲價25%只是開始 三星工廠突然大火:存儲價格又要漲了

快科技3月22日消息,三星SDI在韓國京畿道龍仁市的基興工廠於3月21日下午發生火災,消防人員迅速撲滅了大火。 火災原因初步認定為建築工地焊接引發,但具體損失仍在調查中。 此次火災可能對存儲晶片市場價格產生影響,有行業人士預計存儲晶片價格將進一步上漲。 存儲晶片價格已經出現過一定程度的漲幅,而三星正在推動存儲價格至少漲幅20%。 過去一年多來,NAND快閃記憶體的價格一直持平成本,但現在三星計劃與大客戶談判,將價格調整至合理水平。 當前,NAND的臨時交易價格一直在上漲,部分品類價格已經超過了2022年1月的水平,市場上仍然存在著減產帶來的不安情緒。 在供應鏈庫存有所改善但價格依然上漲的情況下,一季度下遊客戶為避免供貨短缺和成本風險,將繼續增加NAND快閃記憶體的采購訂單。 來源:快科技

RTX 5090要首發 性能要翻倍 三星展示GDDR7顯存

快科技3月20日消息,三星在GTC上展示了專為下一代遊戲GPU設計的GDDR7內存。 首次推出的GDDR7內存模塊密度為16GB,每個模塊容量為2GB。其速度預設為32 Gbps(PAM3),但也可以降至28 Gbps,以提高產量和初始階段的整體性能和成本效益。 據三星表示,GDDR7內存的能效將提高20%,同時工作電壓僅為1.1V,低於標準的1.2V。通過採用更新的封裝材料和優化的電路設計,使得在高速運行時的發熱量降低,GDDR7的熱阻比GDDR6降低了70%。 據爆料顯示,RTX 50系列的旗艦卡,預計將命名為RTX 5090,將首次採用新一代的GDDR7顯存。根據爆料顯示,新卡的性能預計將提升近一倍。 此外,即便搭配256-bit位寬,在37GHz的高頻率下,GDDR7仍能提供1.18TB/的帶寬,超過384-bit 24GHz的GDDR6。這將為遊戲GPU的性能提升提供有力支持。 來源:快科技

三星展示新一代GDDR7顯存,將被用於未來的RTX 50系顯卡

JEDEC在本月月初制定了GDDR7顯存的具體標准,在正在舉行的GTC 2024上NVIDIA只帶來了數據中心的產品,並沒有展示為遊戲而准備的Blackwell架構,但三星帶來了為新一代顯卡所准備的GDDR7顯存。 目前來看NVIDIA下一代RTX 50系顯卡極大機率會採用GDDR7顯存,而三星則會成為新顯存的重要供應商之一,hardwareluxx在GTC會場上拍到了三星所展示的GDDR7顯存。GDDR7顯存的頻率將從28~32Gbps起跳,未來計劃會有速度更快的37Gbps版本,但從目前泄露的RTX 50系顯卡信息來看,新顯卡可能無法充分發揮GDDR7的速度,因為顯卡的顯存頻率被設置在28Gbps。 三星現場所展示的GDDR7顯存速度是32Gbps,和目前最快的GDDR6X相比帶寬提升了33%,而GDDR7的額定電壓是1.1V,這點與GDDR6是一樣的,而GDDR6X則需要1.35V,這意味著更高的能耗比,此外三星表示新的顯存熱阻降低了70%,這能降低運行時所產生的熱量,散熱會比GDDR6X更容易。 雖然關於下一代GeForce顯卡的傳聞有許多,但發布時間還是不確定的,NVIDIA可能會在今年某個時間發布RTX 50系列顯卡,屆時我們才能看到新一代GDDR7顯存具體的表現。 ...

《金鏟鏟之戰》夜幽神諭陣容推薦

金鏟鏟之戰夜幽神諭陣容推薦 1.陣容介紹 夜幽的斬殺機制也是非常強大,但是高夜幽的爽局不是每次都有,常規都是搭配神諭者一起組合,這套在於超多的4費卡,我們可以在8級有不錯的戰鬥力,後面上9也可以有不錯的提升。 羈絆組成:4夜幽 4神諭 2格鬥 2.羈絆介紹 ✦神諭者✦ 大嘴(1)+風女(2)+拉露恩(3)+安妮(4)+莉莉婭(4)+黃雞(5) 每3秒,友軍獲得法力值。 2:友軍獲得5法力值 4:友軍獲得5法力值,神諭者額外獲得20法力值 6:友軍獲得20法力值,神諭者額外獲得45法力值 ✦夜幽✦ 諾手德萊厄斯(1)+掘墓(2)+艾妮露(3)+永恩(3)+塞拉斯(4)+腕豪(5) 戰鬥開始時處於月光格的弈子會獲得護盾。月光格的夜幽弈子會斬殺低生命值的敵人。 2:250護盾,10%斬殺閾值 4:500護盾,18%斬殺閾值 6:1000護盾,並且月光籠罩整個棋盤 9:40%斬殺閾值,斬殺敵人有100%幾率掉落戰利品 2.裝備選擇 主C拉露恩 青龍刀:大劍+眼淚 法爆:大棒+拳套 帽子:大棒+大棒 備選:拉露恩基本就是這套暴力的輸出裝備了,本身技能觸發可以提升攻速,有神諭回藍啟動速度也是非常快的。 副C塞拉斯 飲血:大劍+負極斗篷 泰坦:鎖子甲+反曲弓 狂徒:腰帶+腰帶 備選:前排的法系戰士基本第三件還是做個防禦裝備比較好,這樣生存會高很多,如果裝備多給到安妮或者加里奧當前排可以考慮第三件做法爆帽子等法系輸出裝備。 3.運營攻略 前期運營 這套玩夜幽搭配超多的四費卡,屬於8級就可以發力的陣容,塞拉斯這張卡戰鬥力真的不錯,整體質量高,後續上9也可以替換上高費卡戰鬥力依舊有不錯上限。 4級,前期過渡可以用靈魂蓮華的組合,因為本身這套也是前排戰士搭配後排法師組合,裝備可以直接合成使用。 2-4選秀,前期直接優先找裝備做飲血或者青龍刀。 中期運營 5級,補任意卡過渡即可,根據過渡陣容去添加打工卡。 6人口,如果拉露恩來的早可以直接帶裝備開始用,組合圍繞就是開4夜幽搭配天將去過渡,石頭人搭配掘墓前期前排有一定的坦度,天將的加成可以給到整體強度。 3-4選秀:現在選秀基本就是給核心找裝備,這時候可以開始做前排裝備。 後期運營 7人口,小D找二星拉露恩保證輸出能力還有順便補充下前排坦度。7級基本不考慮D拉露恩的三星,因為陣容需要的四費卡比較多,所以推薦大家8級慢D三星,順便可以找別的四費卡二星。 8人口,血量少大D找前排塞拉斯二星,開出4夜幽和神諭羈絆,血量不錯可以卡著利息慢慢D陣容四費卡二星,腕豪沒來之前可以上其他夜幽卡開羈絆。基本拉露恩三星有二星前排塞拉斯就可以上9了。 9人口,上9就是找腕豪,補烏迪爾開2擎天衛前排坦度提升,風女這時候也可以替換成二星黃雞補輸出。整體後期成型基本都是四費五費卡,花費較高所以整體強度也高。有點類似84的玩法了,同時四費卡多的情況下也容易追三星四費卡。 來源:遊俠網

三星正打造1000TB SSD 不會用更不靠譜的PLC快閃記憶體吧

快科技3月19日消息,三星宣布,正在打造全新的PB級別SSD存儲方案,也就是PBSSD,容量將達到跨越式的1PB左右,相當於1000TB。 三星並未透露具體如何實現PBSSD,只是說將會利用其開發的FDP(Flexible Data Placement)技術,可以翻譯為彈性數據安置,已經被採納為NVMe技術標准。 它可以強化數據的存儲能力,從而提高性能和可預見性,更好地滿足超大規模工作負載的需求,尤其是配合超強算力的AI GPU。 事實上,三星這一番表態,就是在NVIDIA GTC大會上做出的,後者剛發布了 三星SSD目前做到的最大容量是256TB,在去年八月的快閃記憶體峰會上展示過,使用了QLC快閃記憶體晶片,號稱功耗只有八塊32TB SSD加起來的七分之一。 不知道到了1000TB SSD,三星會使用什麼快閃記憶體,是繼續壓榨QLC,還是下一代更加不靠譜的PLC? 來源:快科技

三星將推出汽車用攝像頭模組新品,能在極端條件下工作及擁有長壽命

隨著各類駕駛輔助功能及自動駕駛技術的發展,目前汽車產品對圖像質量的要求也越來越高,因此汽車用的攝像頭模組也需要更新疊代。而根據koreaherald報導,三星將在今年內量產具有先進的防水性能及加熱功能的汽車用攝像頭模組。 三星電機首席工程師Hyung-chan表示:「汽車上的攝像頭模組不能正常將很大機率引發交通事故,因此汽車行業對高端攝像頭模組的需求正持續增長。不過與其他領域的攝像頭模組不同,此類產品在汽車行業與安全性緊密相連,因此我們開發的新品不僅在規格上優於現有產品,而且它還能承受極端的天氣環境。」 這個新的攝像頭模組有一項關鍵技術是防水塗層,Kwak Hyung-chan在介紹時說道:「我們的塗層可以最大限度地提高鏡片的防水性能,它不僅可以讓鏡片上的水滴更容易被清除,用上該圖層後鏡片的防塵、防污以及耐磨性能是原本的1.5倍,而且鏡片的壽命是現有產品的6倍以上。」此外,為了解決冬季結冰或下雪導致汽車攝像頭模組不能正常工作,三星設計了鏡頭加熱技術,可以確保鏡片上的冰雪在一分鍾內迅速融化,實現比競品更快的速度。 在鏡片材質上,三星為該模組開發了新的混合鏡片,該鏡片結合玻璃和塑料鏡片優點,與傳統透鏡材料相比,具有更強的耐用性和抗溫度變化的能力。 談到汽車圖像技術的發展趨勢,Kwak Hyung-chan說道:「汽車上的攝像頭數量正在增加,目前一輛汽車普遍會使用4~5個攝像頭模組,但據業界預測,未來將至少增加到20個。」三星預計,憑借上述的新技術它們的汽車攝像頭模塊市場份額將從2023年的10%在2025年穩步上升到24%左右。同時Kwak Hyung-chan還表示三星打算利用這項新技術進入機器人行業。 此前市場追蹤機構congic Business Intelligence曾統計,汽車攝像頭模組市場預計將從2023年的31億美元在2030年增長到85億美元,年增長率為13.8%。 ...

NAND快閃記憶體市場呈現復蘇跡象,未來格局或生變

TrendForce表示,隨著存儲器供應商連續減產取得成效,存儲產品的價格正在反彈,半導體存儲器市場終於出現了復蘇的跡象。從市場動態和需求變化來看,NAND快閃記憶體作為兩大存儲器產品之一,正在經歷新一輪的變化。 三星在去年進行了多次減產,不過隨著市場回暖,已開始大幅度提高產能利用率,同時抬升價格,繼續主導市場。其位於西安的工廠的開工率已從去年下半年最低的20-30%提升至70%左右。作為三星唯一在韓國以外的存儲半導體生產基地,西安工廠的月產能達到了20萬片300mm晶圓,占據了三星NAND快閃記憶體40%的產量。三星還計劃2024年開始升級西安工廠的工藝,以便生產採用第8代V-NAND技術的產品,達到了236層。 鎧俠(Kioxia)似乎跟上了三星的節奏,正在評估自2022年起執行的減產策略,計劃提高產量,預計3月NAND晶圓廠的產能利用率恢復到90%左右,不過最終取決於市場需求。與此同時,鎧俠可能在4月底恢復與西部數據的合並談判,但是仍要面對來自於競爭對手的強烈反對。如果合並成功,新公司將掌握全球三分之一的NAND快閃記憶體市場,將對未來競爭格局產生深遠影響。 TrendForce預計,盡管是傳統的淡季,但由於訂單規模的持續擴大,2024年第一季度NAND快閃記憶體產業營收仍會有20%的同比增長,這也刺激了NAND快閃記憶體合同價格平均25%的漲幅。自2023年第三季度以來,NAND快閃記憶體晶片價格已連續數月上漲,在2024年市場需求前景保守的前提下,晶片價格走勢將取決於供應商的產能利用率。 不少廠商認為,2024年的需求會好於2023年,並已啟動戰略儲備。隨著供應鏈庫存水平的顯著改善和價格不斷上漲,客戶會繼續增加采購訂單,以避免供應短缺並降低成本上升的風險。 ...

三星玄龍騎士Ark Mini LED亮相AWE2024:55英寸4K曲面頂級屏、1056分區

快科技3月14日消息,今天AWE2024在上海新國際博覽中心正式啟幕,作為全球三大家電及消費電子展之一,各廠商都帶著自己最先進的產品亮相。 三星此次就展示了頂級的Mini LED顯示器新一代玄龍騎士Ark(G97NC),這也是全球首款55英寸1000R曲率的電競顯示器。 玄龍騎士Ark在各項參數上基本達到了目前行業的天花板水平,正面搭載一塊55英寸超大尺寸顯示屏,1000R的曲率讓遊戲和影音帶來更強的沉浸感,就像整個人都被畫麵包裹。 顯示方面達到4K超高解析度,量子點與Mini LED的加持讓顯示素質大大提升,擁有高達1056個控光分區,帶來2000nit的最高峰值亮度、1000000:1靜態對比度,並且支持HDR2000。 遊戲方面,新一代玄龍騎士Ark支持165Hz刷新率,支持1ms GTG急速響應,反應迅速顯示流暢,此外還用過AMD FreeSync Premium Pro技術大大減少遊戲畫面撕裂、卡頓、殘影等情況。 接口配備有HDMI 2.1和DP1.4,不論何種設備都能達到滿血輸入,而且支持多視窗功能,一次最多可連接和使用4個信號源,一塊屏能分成4份用。 同時還支持獨有的“駕駛艙模式”,底座不僅支持升降、傾斜、水平旋轉,還加入了90°的垂直旋轉,讓玩家找到最適合的角度,並且豎屏之後還可以三分遊戲畫面同時顯示。 作為頂級電競顯示器,新一代玄龍騎士Ark連音響系統也沒落下,配備有4個10W音響+2個低音炮(45Hz-20KHz),共60W的功率,無需外接就能實現沉浸式音效。 該顯示器於去年底已經上市,售價15999元,目前在京東三星顯示器自營旗艦店活動到手價為14999元。 來源:快科技

三星成立新的跨部門聯盟,加速研發玻璃基板晶片封裝

由於市場對人工智慧(AI)的需求持續高漲,相關產品對先進封裝技術的需求也在迅速增長,使得各個晶圓代工廠和晶片製造商更加重視封裝技術和產品方面的投入,比如最近SK海力士宣布,在韓國投資10億美元建造先進封裝設施。 據Sedaily報導,三星成立新的跨部門聯盟,橫跨電子、電氣工程和顯示部門,合作加速「玻璃基板」技術的商業化研發工作,希望能在2026年實現量產。三星是以「比英特爾更快的速度實現商業化」為目標,過去主要由子公司三星電機負責推進項目。 「玻璃基板」是新的晶片封裝技術,三星認為是大面積及高性能晶片組合的理想選擇。其克服了有機封裝等傳統方法的弊端,有著更高的封裝強度,提高了耐用性和可靠性,通殺比有機材料更薄,因此互聯密度更高,能在單個封裝中集成更多的電晶體,但是「玻璃基板」在商業化過程中仍然有不少的障礙。 去年9月,英特爾曾表示希望能成為下一代先進封裝玻璃基板生產的行業領導者,內部團隊已經花了十年的時間進行研發,並打算在亞利桑那州的生產基地進行試產。不過行業機構認為,北美地區的大規模生產沒有那麼快到來,預計要等到2030年。 ...

三星已獲得AMD驗證,將向Instinct MI300系列供應HBM3

2024年高帶寬存儲器(HBM)市場仍然以HBM3為主流,不過英偉達即將到來的H200和B100將更新至HBM3E。由於人工智慧(AI)需求高漲,導致英偉達及其他供應商的相關晶片供應一直處於緊張的狀態,除了CoWoS封裝是產能的瓶頸外,HBM也逐漸成為供應上的制約點。相比於普通的DRAM,HBM生產周期更長,從投片產出到完成封裝需要兩個季度以上。 TrendForce資深研究副總吳雅婷表示,SK海力士是HBM3最主要的供應商,但是供應量不足以應付整個人工智慧市場的需求。在2023年末,三星採用1Z nm工藝的產品加入了到英偉達的供應鏈,盡管比重很小,但卻是三星在HBM3的首個訂單。 三星是AMD長期以來的最重要的策略供應夥伴,2024年第一季度里,其HBM3也通過了Instinct MI300系列的驗證,其中包括了8層堆疊和12層堆疊的產品。到下一個季度,三星將逐步放大供應量,希望能以此趕上SK海力士。 到了下半年,市場焦點將逐漸從HBM3轉到HBM3E,而且供應量也將慢慢增大,成為HBM市場的主流產品。SK海力士和美光都已經通過了英偉達的驗證工作,計劃2024年第二季度末用於H200。三星至今仍未通過英偉達的驗證,預計最快在2024年第一季度末完成相關工作,第二季度開始供貨。 隨著三星與美光加大出貨HBM產品,意味著終於可以改變SK海力士一家獨大的市場局面。 ...

快囤貨嗎 三星出手:SSD等存儲漲價20% 有人買半年賺50%+

快科技3月14日消息,據媒體報導稱,存儲一哥已經沒有辦法繼續忍受存儲價格便宜的狀況了,所以已經開始跟下游廠商商談漲價了。 經歷一年多的供過於求之後,三星電子的 NAND 快閃記憶體售價一度持平成本,因此其計劃與大客戶進行談判,將價格拉回到合理水平上。 現在的情況是,NAND的臨時交易價格一直在上漲,部分品類價格已高於2022年1月水平,市場仍然彌漫著減產帶來的不安情緒。 在供應鏈庫存水位已大幅改善、價格仍處於上漲周期的態勢下,一季度下遊客戶為避免供貨短缺及成本墊高的風險,將持續增加 NAND 快閃記憶體采購訂單。 SSD等存儲漲價也是非常明顯,有網友表示稱,去年618買了塊4TB,現在已賺500元+,所以你要囤貨了嗎? 來源:快科技

三星HBM晶片良品率偏低,導致AI訂單爭奪中處於下風

在去年颳起的人工智慧(AI)浪潮中,高帶寬存儲器(HBM)和先進封裝逐漸成為了半導體巨頭們新的戰場。目前SK海力士在HBM市場的處於領導地位,憑借對英偉達AI GPU的HBM3訂單,占據了HBM市場54%的份額。原本排在SK海力士和三星後面的美光,憑借更好的工藝,率先獲得了英偉達用於新款H200的HBM3E訂單,看到了趕超的希望。 去年美光、SK海力士和三星先後發送了HBM3E樣品給英偉達,用於下一代AI GPU的資格測試,目前只有三星還沒得到英偉達的訂單。據Wccftech報導,三星之所以落後於競爭對手,主要原因是HBM晶片良品率偏低,傳聞HBM3晶片的良品率僅在10%到20%之間,而SK海力士則是60%到70%。良品率作為晶片製造中的關鍵部分,決定了矽片中可用晶片的數量。 為了解決HBM晶片生產上的良品率問題,三星正在采購新的設備和材料,並改進HBM晶片的封裝技術。有消息稱,三星可能改用SK海力士早在HBM2E上就已引入的MUF技術,取代現有的NCF技術,不過隨後三星予以否認,表示將繼續依靠自己的封裝技術。 投資者也注意到三星在HBM競爭中處於不利的局面,這已經體現在股價上:三星今年以來股價累計下跌了7%,而SK海力士和美光在同一時期內,估計分別上漲了17%和14%。 ...

華為有望首次超越三星,在2024Q1可折疊手機市場登頂

自2019年推出首款可折疊機型Galaxy Fold以來,三星從2020年至2023年之間,每年都會發布新款Galaxy Z Fold/Flip系列產品,目前已來到Galaxy Z Fold 5/Flip 5。雖然已經有不少競爭對手加入,推出了相當數量的可折疊機型,但是三星依然占據一定的優勢,一直統治著這一細分市場。 最近一份來自DSCC的報告顯示,雖然三星仍然是2023年第四季度最大的可折疊智慧型手機品牌,但是華為和榮耀已經迎頭趕上。三星有可能在2024年第一季度失去全球可折疊手機市場第一名的位置,而華為可能首次在這一市場登頂,結束三星長達四年的統治。 去年全球可折疊手機市場共出貨了23款機型,2023年第四季度里排名前十中,有四款來自三星,榮耀和OPPO各有兩款,剩下華為和小米各有一款。其中Galaxy Z Flip 5排在第一名,Mate X4排在第二,三到五名分別是Magic VS2、Galaxy Z Fold 5和Find N3 Flip。 不過進入2024年後,可折疊手機市場的情況會發生變化,華為有望憑借Mate X5和Pocket 2兩款機型超越三星,而三星則要等到下半年Galaxy Z Fold 6/Flip 6上市才有機會卷土重來,顯得有點太晚了,幾個月的時間可能市場形勢就完全不同了。 據了解,2024年大約會有27款可折疊手機發售。...

2023Q4全球智慧型手機產量同比增長12.1%,全年約11.66億台

據TrendForce最新調查研究報告顯示,全球智慧型手機產量在2023年第三季度結束了連續8個季度的同比衰退,至第四季度各大品牌進行年末沖刺以鞏固市占率,帶動2023年第四季智慧型手機產量同比增長12.1%,約3.37億台,而2023全年產量約11.66億台,按年減少了2.1%。 得益於iPhone 15系列的發布,蘋果在2023年第四季度的產量環比增長了58.6%,達到7850萬台,位居第一名。蘋果全年產量為2.23億台,比起2022年減少了4.2%。由於蘋果與華為在高端市場有重疊,面對後者卷土重來,未來的銷售將面臨極大挑戰。 三星在第四季度處於旗艦機型銷售的過渡期,因而產量環比減少了11%,為5350萬台,排在第二名。三星全年產量為2.29億台,比起2022年減少了11.3%。雖然三星在2023年以19.6%的市場占有率蟬聯榜首,但是與蘋果之間的差距縮小到0.5%。 小米在2023年第四季度的產量環比增長了0.7%,為4310萬台,排在第三名,全年1.47億台,比起2022年減少了6.1%;OPPO在2023年第四季度的產量環比增長了3.4%,約為4000萬台,排在第四名,全年1.3億台,比起2022年減少了4.1%;vivo在2023年第四季度的產量環比增長了4.1%,約為2550萬台,排在第六名,全年9350萬台,比起2022年減少了2.9%。值得注意的還有傳音,2023年第四季度的產量為2950萬台,環比增長了11.3%,全年突破9000萬台大關,按年大幅度增長了46.3%,季度和年度排名都上升至第五名。 展望2024年,TrendForce認為雖然渠道庫存壓力比起之前要小,但是市場復蘇情況還有待觀察,同時產業發展聚焦在人工智慧(AI)應用上,各大晶片廠商及品牌都將加速AI賦能的智慧型手機普及。 ...

第一款驍龍X Elite筆記本:三星Galaxy Book4 Edge價格超1.4萬元

快科技3月11日消息,驍龍X Elite最近開始頻頻露面,預示著基於它的筆記本終於進入了新的開發測試階段,距離發布越來越近了,今天就確認三星Galaxy Book4 Edge將會首批採納驍龍X Elite。 根據高通的說法,宏碁、華碩、戴爾、惠普、聯想等也都會推出驍龍X Elite筆記本,甚至消息稱小米也在測試。 Galaxy Book4 Edge的驍龍X Elite是滿血版本,8+4 12個CPU核心,最高頻率4.3GHz,當然集成5G基帶。 其他配備所知甚少,只確定有14寸螢幕、16GB內存、512GB硬碟,非常普通和“低端”。 但是價格卻不便宜,高配版將要1800歐元左右(約合人民幣1.41萬元),甚至比13.6寸的蘋果MacBook Air還要貴40歐元。 Galaxy Book4 Edge最快也要6月份才會發布,其他驍龍X Elite筆記本只會更晚一些。 來源:快科技

三星990 EVO上手:量大管飽的甜品級固態硬碟

還記得2017~2019年消費級固態硬碟開始火熱普及的時候,三星970 EVO脫穎而出,其提供的PCIe 3.0 x4滿配速度,讓不少用戶第一次感受到了極速存儲的體驗。970 EVO也憑借著相對不錯的性價比與五年的質保站上了固態硬碟銷量榜的龍頭。 六年過去,固態硬碟市場已經發生翻天覆地的變化。大容量的PCIe 4.0 固態硬碟已然成為存儲市場上的主流,同時PCIe 5.0產品也逐漸得到推廣,它們為數據傳輸提供了更快速與高效的可能。 消費者的購買意願也從最初尋求低價低容量的“系統盤”轉變為追求更快更大容量的全盤方案。作為全球存儲行業中的領導者,三星一直推動著存儲市場的變化,近日其推出了新一代產品—三星 990 EVO固態硬碟。 該產品不僅兼容主流的PCIe 4.0 x4,還率先兼容PCIe 5.0 x2,同時還延續了EVO系列的甜品級量大管飽的優勢。接下來,我們就來看看這款三星 990 EVO的表現如何。 三星 990 EVO 開箱&組件規格 與六年前的上一代三星黑盒橙字包裝不同,如今的三星採用了新推出的“海洋系”色調設計。以漸變的藍色作為主色調,輔以醒目的型號名稱、傳輸速度及容量標注。 與早期產品的包裝相比,“海洋系”設計展現了更為簡潔和時尚的美學風格,更貼合現代消費者的審美偏好。 我們之前評測的三星 T5 EVO和三星 PRO Ultimate SD/TF卡就採用了這種配色方案,其清新且簡約的外觀,帶有強烈的科技感。 三星990...

三星認為High-NA EUV有利於邏輯晶片製造,但存儲器或面臨成本問題

近日在美國加利福利亞州聖何塞舉行的SPIE先進光刻技術會議上,來自光刻生態系統不同部門的專家討論了Low-NA和High-NA EUV光刻技術的前景,觀點從高度樂觀到謹慎,特別是High-NA EUV方面,三星表達了擔憂。 三星負責存儲器生產的研究員Young Seog Kang表示,作為一名用戶,更關心的是總成本問題,目前Low-NA已經投入使用,晶片製造商可能更願意使用Low-NA EUV以雙重曝光或採用先進封裝技術作為補充,這可能是更經濟可行的替代方案,而不是直接使用High-NA EUV來完成。Young Seog Kang認為,邏輯晶片的布局更為復雜,新技術可能在更長時間內適用,而存儲器在擴展新技術時,性能和成本方面都存在潛在的挑戰。 相比於三星,英特爾對High-NA EUV的前景更為樂觀一些。按照英特爾的新計劃,將會在Intel 14A工藝引入High-NA EUV,英特爾掩模業務總經理Frank Abboud稱,過往在DUV發揮重要作用的相移掩模有望引入到EUV。ASML系統工程總監Jan van Schoot概述了幾種提高光刻解析度和擴展EUV光刻應用的方法,表示正在研發新的光源和其他改進k1的策略,現在已經有了一些新想法。 JSR(光刻膠供應商)的總裁Mark Slezak認為,EUV技術可以持續20年,DUV技術的持續時間也比預期要長得多。 ...

三星曾考慮將聯發科天璣9000用於Galaxy S系列,供應量不足致雙方未能達成協議

聯發科(MediaTek)在2021年末,推出了名為天璣9000(Density 9000)的旗艦SoC,重新沖擊高端。這不僅是第一款採用ARMv9架構的SoC,也是第一款支持7500 MT/s的LPDDR5X內存的SoC。從過去兩年多的情況來看,天璣9000讓市場重新關注聯發科,也爭取到了不少的市場份額。 近日有網友透露,三星當初確實考慮過將天璣9000用於Galaxy S系列智慧型手機,不過受制於聯發科的晶片供應量不足,最終雙方未能達成協議。此外,還對於最近有關三星打算採用聯發科旗艦SoC用於高端智慧型手機的消息給予否定的答案。 據了解,聯發科最早推出天璣9000的時候,並沒有生產足夠多數量的晶片,估計僅為1000萬塊晶片,而且要同時供應幾家客戶,考慮到每年Galaxy S系列的出貨量是這數字的三倍,大概為3000萬,讓交易最終落空。 此前有報導稱,三星的訂單在2023年第四季度占據了高通40%的收入,成為了後者最大的單一客戶,兩者可以說在業務上已經相互依賴。傳聞目前第三代驍龍8的定價為200美元,對三星來說已經相當高了,而今年的第四代驍龍8可能會更貴,多少會讓三星產生想法,包括加緊開發新款Exynos晶片用於替換,或者引入其他的供應商。 雖然在高端機型上雙方沒有實現合作,不過三星和聯發科之間仍然保持業務聯系,Galaxy A系列裡也有採用聯發科晶片的產品。 ...

GDDR7容量停滯不前只有2GB 未來首創3GB

快科技3月8日消息,近日,JEDEC組織正式公布了GDDR7顯存技術規范,各方面指標都有顯著進步,但沒想到在容量密度上停滯不前,只能期待未來了。 GDDR7升級為四通道傳輸架構,每針腳帶寬增至32-48Gbps,相當於GDDR6/6X的整整2-3倍,256-bit位寬下的帶寬最高可達1.5TB/,還支持片上ECC,而電壓從1.35V降低至1.2V,進一步節省功耗。 此外,信號調制從PAM-4降低到PAM-3,減輕負擔,封裝方式改為266 FBGA。 第一批GDDR7顯存的單顆容量將會只有2GB(16Gb),和如今的GDDR6/6X完全一致,因此首發搭載的NVIDIA RTX 50系列、AMD RX 8000系列,仍然需要相當多的顯存才能達成超大容量。 還好,JEDEC也規劃了更高的容量密度,未來陸續會有3GB、4GB、6GB,甚至是8GB,其中3GB這種反常規容量是首次出現。 但何時量產,就要看三星、美光、SK海力士等巨頭的進度,以及NVIDIA、AMD的採納意願。 值得一提的是,GDDR6時代也曾經設計過1.5GB單顆容量,但從未量產。 GDDR5/6/7通道架構圖 GDDR7片上ECC流程示意圖 GDDR7針腳定義示意圖 來源:快科技

三星990 EVO 2TB固態硬碟上手:不折不扣「無短板」產品

現在是NVMe固態硬碟從PCIe4.0向PCIe5.0過渡的時期,首輪PCIe5.0固態硬碟大戰也暫時偃旗息鼓,但這場“肌肉秀”並沒有贏家,PCIe4.0固態硬碟仍是市場的絕對主力。 不過在細分領域,大部分存儲廠商往往強調產品的性能,而忽略了主流消費群體的需求,因此三星全新的990 EVO,就是定位精準非常精確的一款產品,並提供了1TB和2TB容量可選。 三星品牌固態硬碟從數字後面的後綴可知其市場定位,例如PRO是頂級性能產品,而EVO定位主流用戶群體。 三星990 EVO是三星繼2019年推出970 EVO Plus後再度更新EVO產品線,在支持主流的PCIe4.0的同時,還兼容PCIe5.0平台,再次體現三星對於產品技術的認真和對市場的把控,為用戶提供了更多的選擇。 我們先看一下這款產品的亮點 1、支持PCIe4.0x4和PCIe5.0x2雙模式; 2、配備5nm工藝製程的全新自研主控晶片; 3、配備第六代V-NAND TLC原廠快閃記憶體顆粒; 4、最高順序讀寫速度達到5000MB/和4200MB/; 5、最高隨機讀寫性能達到700K IOPS和800K IOPS; 6、TurboWrite2.0技術,大幅提升了SLC緩存空間,2TB最高可達226GB; 7、整體理論功耗最高僅5.94W 外觀一覽 三星990 EVO的包裝採用全新的設計語言,藍色的主視覺是EVO系列產品的強調色,中間是產品特寫,下方用醒目的大號字體標識了產品的型號,旁邊是5000MB/的讀取速度、容量(1TB/2TB可選),整體設計風格非常簡約清爽。 三星990 EVO採用黑色的PCB,正面覆蓋大面積散熱標簽,可提升散熱效率、有效延緩主控晶片內置的動態散熱保護機制(DTG)觸發時間。 標簽採用三星傳統的設計風格,左側標識了產品的型號、容量,右側是S/N編碼等信息,還可知工作電流僅為1.8A,最高理論功耗僅為5.94W,對於筆記本的電池續航非常友好。 三星990 EVO採用單面晶片方案,降低發熱的同時也提升了兼容性,背面大面積的敷銅線路不僅有效提升了抗干擾性能,而且提升了傳熱效果,NANDFLASH晶片的位置還貼有銅箔散熱片,進一步提升散熱效率。 揭開正面的標簽,可見三星990 EVO採用DRAMLess設計,主要部件只有主控晶片和兩顆NAND FLASH存儲晶片,主控晶片周圍布滿了貼片元器件,為固態硬碟的穩定運行提供穩定的支持。 990 EVO搭載三星自研主控晶片,採用5nm製造工藝和全新架構,支持當下主流的HMB技術,加上內置的三星動態散熱保護機制(DTG),保障性能的同時也對功耗和發熱量有著不錯的控制。 從外觀看,這顆主控晶片的尺寸比980/990 PRO等高端型號要小一些,而且沒有配備鍍鎳塗層,表明這是一款低功耗、低發熱的主控。 本次採用的主控是一顆“雙模主控”,安裝在PCIe4.0協議的M.2插槽會運行在PCIe4.0x4模式,當安裝到支持PCIe5.0的台式機主板或筆記本電腦時,會自動關閉兩條通道。 即運行在PCIe5.0x2模式,藉助PCIe5.0的強大帶寬和三星基於豐富的產品開發經驗而開發的固件,在保證性能的同時還能降低功耗和發熱量,增加了其在實際使用中的靈活性。 核心存儲部分採用兩顆三星第六代V-NAND TLC原廠快閃記憶體顆粒,這同樣是出於降低能耗的角度考慮,但I/O接口帶寬依然高達1600MT/,使得三星990 EVO的最高順序同時也提升了存儲密度,單面晶片即可實現2TB的容量。當然,三星原廠晶片也是品質和使用壽命的保證。 基準性能測試 三星990 EVO不是一款主打前沿性能的固態硬碟,三星也強調的是EVO產品線的延續,因此這款產品更適合主流用戶群體,而PCIe4.0x4+PCIe5.0x2“雙模”設計也使得這款產品更兼具低功耗的優勢。 我們先來對這款產品從順序讀寫性能、隨機性能和SLC Cache三個維度展開基準測試,下面是我們本次的測試平台。 我們將三星990 EVO分別安裝在PCIe4.0和PCIe5.0的M.2插槽中,Crystal Disk info顯示其工作模式分別為PCIe4.0x4和PCIe5.0x2,在24℃室溫下通電15分鍾後閒時溫度分別為57℃和53℃,可見工作在PCIe5.0x2模式會有更低的發熱量。 由於PCIe4.0x4的理論帶寬與PCIe5.0x2一致,因此三星990 EVO在這兩種模式下順序讀寫性能也是基本相同的。 經Crystal Disk...

高通越來越依賴三星?已占其2023Q4收入的40%

在2022年,高通與三星之間建立了新的合作夥伴關系,雙方從2023年開始簽署了一項新協議,將驍龍平台的使用范圍進一步擴大,更多地應用到三星未來高端Galaxy產品線中,除了智慧型手機,還包括個人電腦、平板電腦、虛擬現實等產品。今年雙方又延長了協議的期限,三星也逐漸加大了高通晶片的使用。 據Wccftech報導,最新的調查統計數據顯示,三星的訂單在2023年第四季度占據了高通40%的收入,成為了後者最大的單一客戶,比第二名小米的17%多了一倍以上,很大程度上也是Galaxy S23/24系列機型大規模採用高通高端移動平台所致。 雖然與Exynos 2200相比,Exynos 2400有了較大改進,但是仍然落後於第三代驍龍8,今年晚些時候登場的第四代驍龍8大機率會保持領先,而且定價會更高。最近有報導稱,三星正在全力以赴開發新款Exynos晶片,希望能用於明年所有Galaxy S系列機型,一定程度上也和高通旗艦SoC定價較高有關。如果三星可以成功地執行該計劃,那麼意味著高通的收入會大大減少。 反觀競爭對手聯發科的情況要比高通好很多,雖然三星和小米也是其最大的兩位客戶,但占比分別為25%和17%,顯得更為平衡。目前聯發科為移動平台提供了15款SoC,除了谷歌以外,其他所有Android智慧型手機廠商都有采購這些晶片。有分析師表示,聯發科2024年在全球智慧型手機晶片市場的份額可能增加到35%。 ...

三星將首秀36GB HBM3E記憶體:NVIDIA H200單卡就有216GB

快科技3月7日消息,三星日前宣布了全球首款36GB超大容量的新一代高帶寬內存HBM3E,而在3月19日凌晨開幕的NVIDIA GTC 2024技術大會上,三星將首次進行公開展示。 三星HBM3E採用了24Gb顆粒、12層堆疊(12H),從而將單顆容量做到史無前例的36GB,帶寬也提升至1280GB/,相比前代8H HBM3容量、帶寬都提升了50%,垂直密度則增加了超過20%。 NVIDIA H200 AI加速卡將首發採用三星36GB HBM3E,只需要八顆,就能達成6144-bit的位寬、216GB的容量,從而超過192GB HBM3內存的AMD Instinct MI300X。 H200還支持四路、八路互連,因此單系統的HBM3E內存容量可以達到864GB、1728GB! 按照慣例,NVIDIA可能會出於良品率的考慮,屏蔽一小部分容量,但是單卡超過200GB、單系統超過1.6TB必然是很輕松的。 按照NVIDIA的說法,H200雖然還是Hopper架構,但相比H100再次飛躍,700億參數Llama2、1750億參數GTP-3模型的推理性能分別提升多達90%、60%,對比前代A100 HPC模擬性能直接翻番。 NVIDIA H200計劃2024年第二季度出貨,三星36GB HBM3E則會在第一季度投入量產,正好趕得上。 來源:快科技

三星高管稱AR、VR設備將帶動顯示器市場,​MicroOLED還需3-4年實現商業化

3月6日,三星顯示器業務總經理崔周善在大田韓國科學技術院(KAIST)結束特別演講後接受chosun的記者采訪,他透露MicroOLED還需要3-4年才能實現商業化,且他對於韓國顯示器行業復蘇持中立態度。 崔周善在采訪時說道,目前顯示器行業已經觸底,雖然科技數碼領域對於有機發光二極體(OLED)有較大需求,但近年來智慧型手機行業發展變得越來越困難。不過根據市場發展趨勢來看,增強現實(ar)和虛擬現實(vr)設備搭載的MicroOLED具有相當巨大的市場潛力,但要將其完全實現商業化還需要3-4年的時間,目前MicroOLED還有大量的技術難題和成本問題。」 他還表示,在MicroOLED普及之前,三星將在MicroOLED領域投入大量資源,以推出比其他公司更有競爭力的MicroOLED顯示方案。此外,在2023年,為推進RGB OLEDoS技術研發。三星已投入2.18億美元(折合人民幣約15.7億元)收購美國的MicroOLED研發公司eMagin。 MicroOLED又稱矽基OLED或OLEDoS,是將傳統OLED的玻璃基板替換為單晶矽基板,並採用有機發光技術。與傳統OLED外置驅動不同,矽基OLED將單晶矽通過CMOS工藝加工成驅動背板,該技術可以將單個像素點尺寸縮小至原來的1/10左右,以實現更高精度的顯示效果。目前除了Apple Vision Pro以外,在消費級數碼設備中還有部分無反相機的取景器使用了MicroOLED面板,以索尼的旗艦相機α1為例,其取景器就採用了一塊最大解析度約為2048x1536且幀率高達240Hz的MicroOLED顯示器。 ...

快閃記憶體大減產 SSD大漲價 廠商含淚多賺25%

快科技3月7日消息,根據集邦咨詢的統計,2023年第四季度全球NAND快閃記憶體市場總營收達114.9億美元,環比大漲24.5%。 其中的一個關鍵原因,就是前幾年庫存居高不下之時,各大廠商紛紛大規模減產,終於把庫存拉了下來,快閃記憶體市場開始走俏,SSD的價格也開始不再那麼實惠。 當季,NAND快閃記憶體的合約價格平均飆升大漲約25%,其中三星的平均售價漲了12%,西部數據也漲了10%。 作為行業龍頭,三星的伺服器、筆記本、手機快閃記憶體業務全線看漲,已經供不應求,一個季度就入帳42億美元,環比暴漲44.8%,並占據整個市場的多達36.6%,收獲了5.2個百分點的份額。 SK海力士(包括Solidigm)也收入了24.8億美元,環比大增33.1%,份額上漲至21.6%。 西部數據、鎧俠分別小幅增加7.0%、8.0%,目前份額分別為14.5%、12.6%。 美光則是五大巨頭中唯一倒退的,因為減量供應,出貨量少了超過10%,導致收入微跌1.1%,份額已不足10%。 其他中小型廠商日子也不錯,合計收入大漲了32.3%。 集邦咨詢預計,2024年第一季度的NAND快閃記憶體行業收入還會再漲大約20%。 (表中的million都應該是billion) 來源:快科技

經過長時間與庫存及需求的糾纏,三星DRAM業務時隔5個季度實現盈利

作為全球最大的晶片製造商之一,過往半導體業務一直是三星搖錢樹。不過去年全球存儲晶片陷入了前所未有的低迷,讓三星損失慘重,連續數個季度里一直在與庫存及市場需求作斗爭,DRAM業務陷入了連續虧損。由於庫存負擔過重、需求低迷、價格下滑,最終三星不得不通過減產等手段,將管理重點放在了盈利能力上,但是進展一直不太順利。 據相關媒體報導,經過長時間的掙扎後,三星的DRAM和NAND快閃記憶體業務終於看到了曙光,今年1月份的月度財報里顯示已實現了盈利。如果這種趨勢能夠得以延續,三星的DRAM業務將迎來五個季度以來的首次季度盈餘。 近期TrendForce發布的2023年第四季度DRAM產業和NAND快閃記憶體調查報告顯示,三星都是排名第一的廠商,而且增長幅度也是最大的。其中DRAM業務季度增幅超過了50%,達到了79.5億美元,而NAND快閃記憶體業務環比增長44.8%,達到了42億美元。 不過也有業內人士認為,雖然現在市場的情緒是積極的,但還沒到鬆懈的時候。供應商積極減產推動了價格的上漲,供需環境得到了改善,但是市場需求仍然存在較大的不確定性,這可能是一個意想不到的變量,同時還要密切關注庫存水平。 ...

三星仍需解決3nm工藝良品率問題,目前在50%附近徘徊

近年來,良品率一直是三星晶圓代工業務所要面對的最大問題。特別是在3nm製程節點上,三星率先引入了全新的下一代GAA(Gate-All-Around)架構電晶體技術,與以往使用的FinFET電晶體技術有著較大的區別,也使得良品率問題進一步放大。 據Notebookcheck報導,三星目前3nm工藝的良品率在「50%附近」徘徊,在良品率方面依然有一些問題需要解決。不過這次的消息里,沒有具體說明到底是初代的3nm GAA / 3GAE,還是已經試產、且今年即將量產的第二代3GAP。三星去年曾表示,其3nm工藝量產後的良品率已達到60%以上,不過現在看來,似乎有點過於樂觀。 有行業分析師表示,三星GAA流程方法尚未穩定,這多少能解釋為什麼良品率一直都上不去。不過在4nm工藝上,三星的表現明顯更好,良品率已提升至75%,過去一系列的努力終於有了回報。對於谷歌來說也是個好消息,畢竟今年用於新款Pixel 9系列旗艦智慧型手機的Tensor G4將採用4LPP+工藝製造。 如果三星想要在未來與台積電(TSMC)甚至英特爾代工服務競爭,必須要提升良品率。三星對明年的Exynos 2500寄予厚望,被認為是其SoC設計的翻身之作,計劃採用3nm工藝製造,如果良品率問題得不到解決,很難想像如何與高通及聯發科的同類產品抗衡。 ...

三星決定為第二代3nm工藝改名:「SF3」將重新命名為「SF2」?

此前三星公布了到2027年的製程技術路線圖,列出了2022年6月量產SF3E(3nm GAA,3GAE)以後的半導體工藝發展計劃,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原計劃,今年三星將帶來第二代3nm工藝技術,也就是SF3,使用「第二代多橋-通道場效應電晶體(MBCFET)」,傳聞已經在試產。 據ZDNet報導,有消息人士稱已收到了三星的通知,原來的第二代3nm工藝技術將改為2nm,為此去年與三星簽訂的合同里所寫的3nm也變成了2nm。據稱,三星計劃在今年下半年開始為客戶生產「2nm」晶片,看起來除了名字改了,其他與原來的SF3並沒有分別,何況也不太可能在短時間內突然使用不用工藝技術為客戶生產原先採用SF3的晶片,畢竟這需要重新去做設計。 上個月有報導稱,三星已經從日本人工智慧(AI)初創公司Preferred Networks Inc.(PFN) 處收到2nm晶片訂單。結合這次的信息,有可能當時所稱的2nm晶片,其實採用的是原有的第二代3nm工藝技術。 傳聞三星正在推動「BSPDN(背面供電網絡)」技術的應用,計劃在明年量產的2nm工藝上引入,這是SF3所不具備的。如果三星確實將SF3改為SF2,那麼之前公布的製程技術路線圖也會改變,先前的SF2可能會有一個新的名稱。 ...

《崩壞星穹鐵道》舌燦蓮花三星陣容推薦

舌燦蓮花 我方施放追加攻擊造成傷害後,累計造成傷害值。當造成傷害值到達100%時,對敵方全體造成固定傷害 第一個小buff,簡單明了加追擊攻擊傷害,景元和黑塔之類角色 第二個小buff,適合隊伍主c沒有追擊攻擊或較少追擊攻擊的角色,如銀枝希兒等 第三個小buff,上線很高的一個buff,觸發追加攻擊就給全隊觸發一次拉條,配合花火鴨鴨的二次拉條能打出很高的上線,適合有手法的玩家 明顯抬帶有追加攻擊的角色,黑天姬子景元這三收益最大,克拉拉這期沒物理弱點實戰中很吃練度,第一個buff帶來的傷害提升很穩定,沒有追擊攻擊隊伍基本只能帶第二buff,第三個就有意思了,舞舞舞青春版,高上線低下線,練度夠的玩黑塔之類的選第一個buff基本掛機能拿3000分往上,練度不夠的可以選擇第三buff靠手部乘區(一般還是第一個),總體來說比上期buff只抬dot要好一點 第3層 上半 第一波起泡犬+猿啤+抹消者,第二波撲滿+小怪過渡,第三波猿啤+起泡犬+抹消者 抬物理和火系 這間主要還是生存壓力稍微有點大,猿啤+氣泡犬有翻車的可能,求穩建議隊伍帶個限定生存位或者傑哥 拿銀枝一直捍衛或者拿姬子無人機過的很輕松,單掛黑塔可能輸出壓力有點大,但有這期buff存在,3000分往上還是很輕松的 推薦陣容 銀枝,花火(停雲,鴨鴨),阮梅(寒鴉,停雲,鴨鴨),生存位 姬子,黑塔(花火,艾絲妲,鴨鴨),阮梅(停雲,鴨鴨等),生存位 黑塔,花火(鴨鴨,停雲),阮梅(鴨鴨),生存位 克拉拉,御空(停雲等同協位),阮梅,羅剎(玲可,藿藿) 希兒(青雀),花火,鴨鴨(阮梅),符玄 下半 第一波氣泡犬+紅恐龍+電視機,第二波撲滿過渡,第三波波紅恐龍+小怪 抬雷和風系,其次冰系和火系也能打 恐龍只要隊伍攻擊次數多,基本它的噴火放不出來,練度不夠的玩家注意電視機的狀態 陣容推薦 卡芙卡(希露瓦),黑天鵝(桑博,希露瓦),阮梅(艾絲妲,花火),藿藿(其他生存位) 姬子,黑塔(花火,艾絲妲)阮梅(艾絲妲,鴨鴨),生存位 景元,花火(停雲,鴨鴨),阮梅(艾絲妲),生存位 刃,鴨鴨,花火(阮梅,艾絲妲),羅剎(其他生存位) 第4層 上半 第一波流浪者+憶域迷因+風人馬,第二波撲滿+公司員工過渡,第三階段玄鹿 抬火和冰系,其次量子也能打 有憶域迷因逆屬性硬打很難受,比如銀枝練度不夠的話一個大招帶不走憶域迷因,玄鹿帶有一定爆發隊伍建議帶個符玄 陣容推薦 姬子,黑塔(花火,鴨鴨,艾絲妲),阮梅(花火,鴨鴨),生存位 黑塔,花火(鴨鴨),阮梅(鴨鴨),生存位 希兒(青雀)花火,鴨鴨(阮梅),符玄 鏡流,鴨鴨,花火(黑塔),羅剎(其他生存位) 銀枝,花火(鴨鴨),阮梅(停雲),藿藿 托帕,鴨鴨(真理,停雲,艾絲妲),阮梅(花火),生存位(純靠buff硬抬,強度是有的) 下半 第一波紅綠燈+金魚+紅電鋸,第二波電視機+撲滿+氣泡犬過渡,第三波浮煙+金魚+魔陰身 浮煙拉條的機制抬了手dot和克拉拉刃等角色,金魚的存在雷公電母銀枝都能受益 抬雷系和虛數,其次風系和冰系以及物理 推薦陣容 景元,花火(停雲,阮梅),鴨鴨(艾絲妲,阮梅),生存位 銀枝,花火(阮梅,停雲),鴨鴨,生存位 卡芙卡(希露瓦),黑天鵝(桑博),阮梅(花火,艾絲妲),藿藿(其他生存位) 黑塔,姬子(花火,鴨鴨),阮梅(艾絲妲,鴨鴨,花火),符玄 刃,花火(阮梅),鴨鴨,羅剎(玲可,符玄,藿藿) 龍丹(老楊二者最好都二命),花火(停雲),鴨鴨(阮梅) 克拉拉,阮梅,御空,玲可(其他生存位) 鏡流,鴨鴨(花火),花火(阮梅),藿藿 希兒(青雀)花火,鴨鴨(阮梅),符玄 真理,托帕(停雲),阮梅(佩拉,鴨鴨,花火),生存位(這隊伍純靠buff,強度是有的,拿個3000往上不難) 總結還是和上期一樣抬的up角色,上期抬的黑天鵝順帶抬了手dot隊,這期抬的景元連帶著抬了手追加隊(托帕真理都能拿3000往上只能說跟著buff走),目前花火忘卻和虛構表現都非常亮眼基本人權卡坐實了,雙拉體系和搭配量子隊和龍丹表現都亮眼,這期四層上半放了冰火弱基本只要練了黑塔打個3300往上很簡單,下半能打的陣容也很多,這期拿滿還是沒太大問題的,要是後面真的提強度,說不定上線兩層一個冰火弱都沒有了呢,還是建議各位早做打算,在自己的抽卡規劃中加入如銀枝之類的敘事虛構的特解卡,提升自己的敘事游戲體驗) 來源:遊民星空

HBM低良品率影響產量,美光在英偉達HBM3E資格測試中領先

目前英偉達為人工智慧(AI)和高性能計算(HPC)應用銷售的晶片比業內其他企業都要多,這些高性能計算卡需要大量HBM類晶片,如果想保持這種狀態,就需要穩定的供應。為了更妥善且健全的供應鏈管理,同時為了保證下一代產品的供應,英偉達規劃加入更多的供應商,去年末三星、SK海力士和美光都參與到英偉達下一代AI GPU的資格測試中。 據DealSite報導,英偉達的資格測試似乎給HBM製造商帶來了困難,比起普通的內存產品,HBM類產品的良品率明顯較低,這一定程度上影響了供應。相比市場對於HBM類產品的巨大需求,目前存儲器製造商的產能有所不足,供應十分緊張,SK海力士和美光先後表示2024年HBM產能售罄。 HBM需要在基礎晶圓上通過矽通孔(TSV)連接多層DRAM,如果其中一層出問題就意味著整個HBM堆棧報廢。隨著堆疊層數的增加,良品率有可能會進一步降低。有消息人士稱,現階段HBM類產品的良品率約為65%,如果想要提高這一數字,產量就會下降。存儲器製造商之間的競爭就是在良品率和產量之間找到平衡,提供合適的解決方案。據了解,美光和SK海力士似乎在英偉達的資格測試中處於領先位置,其中前者已經通過了認證階段,開始為下一代H200產品生產HBM3E晶片。 目前SK海力士和三星都打算增加HBM類產品的產量,不過較低的良品率加上更高的需求,從長遠來看是個大問題。 ...