為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

12月5日消息,在IEDM 2022(2022 IEEE國際電子器件會議)上,英特爾發布了多項突破性研究成果,繼續探索技術創新,以在未來十年內持續推進摩爾定律,最終實現在單個封裝中集成一萬億個電晶體。

英特爾的研究人員展示了以下研究成果:3D封裝技術的新進展,可將密度再提升10倍;超越RibbonFET,用於2D電晶體微縮的新材料,包括僅三個原子厚的超薄材料;能效和存儲的新可能,以實現更高性能的計算;量子計算的新進展。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

英特爾技術開發事業部副總裁兼組件研究與設計總經理Gary Patton表示:“自人類發明電晶體75年來,推動摩爾定律的創新在不斷滿足世界指數級增長的計算需求。

在IEDM 2022,英特爾展示了其前瞻性思維和具體的研究進展,有助於突破當前和未來的瓶頸,滿足無限的計算需求,並使摩爾定律在未來繼續保持活力。”

此外,為紀念電晶體誕生75周年,英特爾執行副總裁兼技術開發總經理Ann Kelleher博士將於IEDM 2022主持一場全體會議。

屆時,Kelleher將概述半導體行業持續創新的路徑,即圍繞系統級戰略聯合整個生態系統,以滿足世界日益增長的計算需求並以更有效的方式實現創新,從而以摩爾定律的步伐不斷前進。

此次會議將於太平洋標準時間12月5日周一上午9點45分(12月6日周二凌晨1點45分)開始,主題為“慶祝電晶體誕生75周年!摩爾定律創新的演進”。

對滿足世界的無限計算需求而言,摩爾定律至關重要,因為數據量的激增和人工智慧技術的發展讓計算需求在以前所未有的速度增長。

持續創新正是摩爾定律的基石。在過去二十年,許多里程碑式的創新,如應變矽(strained silicon)、Hi-K金屬柵極(Hi-K metal gate)和FinFET電晶體,都出自英特爾組件研究團隊(Intel’s Components Research Group)。

這些創新在個人電腦、圖形處理器和數據中心領域帶來了功耗和成本的持續降低和性能的不斷增長。

英特爾組件研究團隊目前的路線圖上包含多項進一步的研究,包括RibbonFET全環繞柵極(GAA)電晶體、PowerVia背面供電技術和EMIB、Foveros Direct等突破性的封裝技術。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

在IEDM 2022,英特爾的組件研究團隊展示了其在三個關鍵領域的創新進展,以實現摩爾定律的延續:新的3D混合鍵合(hybrid bonding)封裝技術,無縫集成芯粒;超薄2D材料,可在單個晶片上集成更多電晶體;能效和存儲的新可能,以實現更高性能的計算。

英特爾組件研究團隊所研發的新材料和工藝模糊了封裝和晶片製造之間的界限。

英特爾展示了將摩爾定律推進到在單個封裝中集成一萬億個電晶體的關鍵步驟,包括可將互聯密度再提升10倍的先進封裝技術,實現了准單片(quasi-monolithic)晶片。

英特爾還通過材料創新找到了可行的設計選擇,使用厚度僅三個原子的新型材料,從而超越RibbonFET,推動電晶體尺寸的進一步縮小。

英特爾通過下一代3D封裝技術實現准單片晶片:

與IEDM 2021上公布的成果相比,英特爾在IEDM 2022上展示的最新混合鍵合研究將功率密度和性能又提升了10倍。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

通過混合鍵合技術將互連間距繼續微縮到3微米(去年還是10微米,現在縮小了超過3倍),英特爾實現了與單片式系統級晶片(system-on-chip)連接相似的互連密度和帶寬(相比去年論文提到的互聯密度提升了10倍)。此外,混合鍵合技術還支持多個小晶片直堆疊。

英特爾探索通過超薄“2D”材料,在單個晶片上集成更多電晶體:

英特爾展示了一種全環繞柵極堆疊式納米片結構,使用了厚度僅3個原子的2D通道材料,同時在室溫下實現了近似理想的低漏電流雙柵極結構電晶體開關。這是堆疊GAA電晶體和超越矽材料的固有限制所需的兩項關鍵性突破。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

目前的晶片材料,如矽,是由三維晶體組成,這意味著原子在所有三個維度上都鍵合在一起,因此對微縮提出了基本的限制。相比之下,2D材料很有吸引力,因為所有原子都粘在一個平面上。

目前的GAA設計由堆疊的水平矽納米片組成,每個納米片完全被一個門包圍。

這種“環繞柵極”(GAA)技術可減少電壓泄漏,從而防止關閉電晶體。隨著電晶體的縮小,這正變得越來越成為一個問題——即柵極在三面環繞溝道時,正如我們在鰭式場效應電晶體中看到的那樣。

英特爾將其 GAA 設計命名為 RibbonFET,計劃於 2024 年上半年量產。然而,超越RibbonFET將需要進一步的創新,而這項2D材料研究符合潛在創新要求。

英特爾的論文描述了一種新的環繞柵極(GAA)堆疊納米片結構,其通道材料(納米片/納米帶)採用的是厚度僅為3個原子的2D材料,可以在室溫下以低泄漏電流工作。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

2D 通道材料的薄型使得建立與納米帶的電氣連接成為一項艱巨的任務,因此英特爾的研究人員還展示了對2D材料的電接觸拓撲結構(electrical contact topologies)的首次全面分析,為打造高性能、可擴展的電晶體通道進一步鋪平道路。

為了實現更高性能的計算,英特爾帶來了能效和存儲的新可能:

通過開發可垂直放置在電晶體上方的存儲器,英特爾重新定義了微縮技術,從而更有效地利用晶片面積。

英特爾在業內率先展示了性能可媲美傳統鐵電溝槽電容器(ferroelectric trench capacitors)的3D堆疊型鐵電電容器(stacked ferroelectric capacitors),可用於在邏輯晶片上構建鐵電存儲器(FeRAM)。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

這項技術最令人印象深刻的方面是,鐵電溝槽電容器可以垂直堆疊在電晶體頂部的邏輯晶片上。

這使得存儲器能夠分層在邏輯元件之上,而不是像我們在其他類型的嵌入式存儲器中看到的那樣,如用於L1和L2緩存的SRAM,位於其自己的獨特區域。

這種業界首創的器件級模型,可定位鐵電氧化器件(ferroelectric hafnia devices)的混合相位和缺陷,標志著英特爾在支持行業工具以開發新型存儲器和鐵電電晶體方面取得了重大進展。

鐵電存儲器還具有與NAND快閃記憶體類似的功能,即在通常僅存儲1bit的結構中存儲多bit數據的能力。

在這種情況下,英特爾展示了每個溝槽存儲4bit的能力。當然,這種方法將增加帶寬和記憶體密度,同時減少延遲,產生更大、更快的片上緩存。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

英特爾正在為打造300毫米矽基氮化鎵晶圓(GaN-on-silicon wafers)開辟一條可行的路徑,從而讓世界離超越5G和電源能效問題的解決更進一步。英特爾在這一領域所取得的突破,實現了比行業標準高20倍的增益,並在高性能供電指標上打破了行業記錄。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

英特爾正在超高能效技術上取得突破,特別是在斷電情況下也能保留數據的電晶體。對於三個阻礙該技術在室溫下完全實現並投入使用的障礙,英特爾的研究人員已經解決其中兩個。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

英特爾繼續引入新的物理學概念,製造用於量子計算的性能更強的量子位:

英特爾的研究人員加深了對各種界面缺陷(interface defects)的認識,這些缺陷可能會成為影響量子數據的環境干擾(environmental disturbances),從而找到了儲存量子信息的更好方法。

Intel 18A提前到2024下半年量產

據英特爾最新對外公布的信息顯示,Intel 4nm晶片已准備投產,它將用於包括Meteor Lake(14代酷睿流星湖)處理器、ASIC網絡產品等。

同時,Intel 3nm、20A(2nm,其中A代表埃米,1nm=10埃米,下同)、18A(1.8nm)進展一切順利,甚至還略有提前。

其中Intel 3nm將在明年下半年投產,用於Granite Rapids和Sierra Forest數據中心產品。

為萬億電晶體晶片鋪路 英特爾展示有3個原子厚的2D新材料

Intel 20A計劃2024上半年准備投產,首發Arrow Lake(15代酷睿)客戶端處理器,Intel 18A提前到2024下半年就緒,分別用在下一代酷睿和數據中心產品上。

來源:快科技