Home Tags 三星

Tag: 三星

三星寄望晶片業務翻身:目標2024年賺取88億美元利潤

半導體部門長期以來都是三星最賺錢的業務部門之一,不過最近一段時間里,日子過得很艱難。此前有報導稱,2023年三星半導體部門虧損嚴重,甚至可能超過100億美元(約合人民幣714.61億元)。有分析人士認為,這樣的業績表現可能比市場預期的還要差。 據Sammobile報導,三星寄望2024年晶片業務可以實現大逆轉,完全扭轉2023年的頹勢,目標是賺取88億美元的利潤。 三星半導體部門在2023年最大的虧損來自於DRAM和NAND快閃記憶體供應過剩,為此在過去一年裡,不斷減少產量並為削減庫存作出了巨大的努力。去年10月起,三星的策略開始收到了效果,市場價格出現了反彈,PC和智慧型手機的需求也推動了復蘇。不得不提的是,三星還利用了人工智慧(AI)的熱潮大量出貨HBM類產品,數據顯示其全球市場占有率達到了47%左右。 雖然三星似乎已開始看到一絲曙光,但較高的庫存仍然對後續的經營構成風險。有消息稱,三星半導體存儲器的庫存達到了150億美元,而且不少是過時的產品,可能最後不得不打折出售。當然,三星會繼續執行削減NAND快閃記憶體產量的策略,以進一步提高定價。 ...

三星公布2024年全新OLED遊戲顯示器,擴展Odyssey系列產品陣容

三星宣布,新款Odyssey系列OLED遊戲顯示器將會在CES 2024上首次亮相,為遊戲玩家提供更高性能及視覺清晰度的產品。其包括了多款產品,分別有Odyssey OLED G9(型號G95SD),Odyssey OLED G8(型號G80SD)和Odyssey OLED G6(型號G60SD)。 Odyssey OLED G9(型號G95SD)採用了49英寸曲面OLED螢幕,解析度為5120 × 1440(DQHD),刷新率為240Hz,響應時間(GtG)為0.03ms,支持AMD FreeSync Premium Pro,得到了VESA DisplayHDR TrueBlack 400認證。另外還支持三星智能電視、三星遊戲中心和Core Lighting+,配備了兩個HDMI 2.1接口和一個DP 1.4接口,並帶有USB集線器功能。 Odyssey OLED G8(型號G80SD)採用了32英寸OLED螢幕,解析度為3840 ×...

三星官宣Galaxy Unpacked 2024:1月18日發布Galaxy S24系列

三星官方宣布,將於2024年1月18日凌晨2點舉辦Galaxy Unpacked 2024,地點是美國加利福利亞州聖何塞。毫無疑問,在這次Galaxy全球新品發布會上,三星將發布新一代Galaxy S24系列智慧型手機,其中包括Galaxy S24、Galaxy S24+和Galaxy S24 Ultra三款機型。 昨天就有報導稱,三星從2024年1月2日起,已經向媒體和其他相關人員發出官方邀請函,參加這次活動。 准備好,一起迎接Galaxy AI時代。 從您生活中重要的電子設備開始,釋放全新的創造力,生產力和可能性。 這就是屬於你的AI手機。 Galaxy AI,來了。三星表示,革命性的移動體驗即將到來,准備好發現一個充滿可能性的新時代,利用最新的Galaxy創新技術,改變生活、聯系和創造方式。這次全新的Galaxy S24系列將為迄今為止最智能的移動體驗設定更高標准,屆時將揭示最新的Galaxy創新,提供一種基於AI的全新移動體驗。 Galaxy S24系列將重新啟用雙平台策略,屆時將分別會有搭載Exynos 2400和第三代驍龍8的版本,前者將用於歐洲等特定地區,但不會出現在Galaxy S24 Ultra上。傳聞Galaxy S24將堅持8GB內存的配置,Galaxy S24+和Galaxy S24 Ultra則是可選8GB和12GB,另外會帶來一些令人興奮的升級,比如Galaxy S24+會配備1440P螢幕、Galaxy S24 Ultra採用鈦合金框架等。據稱,新機型的定價與今年的產品差不多。 ...

《交錯戰線》角色強度排行

交錯戰線角色強度排行 1.節奏榜 註:以下為根據三測推測的公測開服節奏榜,後續可能有所改動。 點擊查看大圖 2.值得刷初始的角色 幻神:刃齒(單體輸出)、熒(單體輸出)、烏琳(再動輔助) T0:女王蜂(單體輸出+核心同調)、颶風(AOE輸出 需暖機)、旋律、琶音、霖(三星戰神) 來源:遊俠網

《原神》聯動三星堆博物館鍾離亮相央視新聞聯播

在昨日(1月1日)的央視新聞聯播中,遊客分享參觀三星堆博物館的感受時,其視頻畫面背景居然是《原神(Genshin Impact)》里的角色鍾離。 【游俠網】《原神》鍾離登上新聞聯播 此前,原神官方宣布聯動三星堆博物館,一系列線上線下活動同步開啟,和遊戲一同感受領略文化瑰寶的風采魅力。 本次聯動,將由四川國際傳播中心作為特別支持媒體,聯合原神面向海外推出三星堆系列短片。目的是為了能讓三星堆文化和原神一起面向海外的「Z世代」,通過遊戲推動中華優秀傳統文化的創造性轉化、創新性發展,以此來達到持續不斷的「破圈」融合。 來源:遊俠網

傳三星發出今年「Galaxy Unpacked」活動邀請,1月17日發布Galaxy S24系列

今年三星將帶來Galaxy S24系列智慧型手機,包括Galaxy S24、Galaxy S24+和Galaxy S24 Ultra三款機型。三星希望通過提早發布新產品,向競爭對手施加更大壓力,以奪回失去的全球市場份額。 近日有網友透露,三星會從2024年1月2日起,向媒體和其他相關人員發出官方邀請函,參加三星在美國加利福利亞州聖何塞舉辦的「Galaxy Unpacked」活動,也就是Galaxy S24系列智慧型手機的發布會。 此前有報導稱,客戶可以在韓國首爾時間1月19日開始預訂Galaxy S24系列,首批設備會在1月26日至1月30日發送。據稱定價與今年的Galaxy S23系列差不多。傳聞Galaxy S24將堅持8GB內存的配置,Galaxy S24+和Galaxy S24 Ultra則是可選8GB和12GB,另外會帶來一些令人興奮的升級,比如Galaxy S24+會配備1440P螢幕、Galaxy S24 Ultra採用鈦合金框架等。 Galaxy S24系列應該是三星首款帶有人工智慧(AI)功能的智慧型手機,配備了三星內部開發的人工智慧技術。三星還可能將Bixby重新命名為Galaxy AI,三星已經公布了其首個人工智慧應用,通話時音頻和文本翻譯將實時顯示在手機螢幕上,有效提升了不同語言人士之間的交流效率與流暢度。 ...

三星將為影像傳感器引入更多AI功能,或改變未來智慧型手機拍照

過去多年裡,智慧型手機攝像頭已經取得了長足的進步,這與索尼和三星在影像傳感器方面的努力密不可分。2023年業界的一大熱點話題就是人工智慧(AI),似乎已逐漸滲透到未來不同產品技術的開發里。 據Wccftech報導,三星正在研究開發一種具備人工智慧的圖像傳感器,以向索尼發起挑戰。雖然短期內這似乎沒有多大意義,但長遠來看,有可能徹底改變智慧型手機的拍照。根據一份新的報告,三星努力為圖像傳感器引入更多人工智慧的功能,並用於未來的Galaxy S系列智慧型手機。 去年三星就在新發布的移動處理器Exynos 2400上,介紹了全新的Zoom Anyplace技術,其中便利用了人工智慧技術。其使用自家的2億像素圖像傳感器,在不影響圖像質量的情況下,最高可拍攝4倍特寫;同時在拍攝移動中的物體時,可緩解主體模糊的情況;另外可以自動實時跟蹤和捕捉物體,以全屏方式進行錄制,提供更豐富的細節。 三星並不是唯一有這方面想法的廠商,SK海力士在去年的活動里就透露,如何將人工智慧晶片集成到影像傳感器,從而減少延遲、提高圖像質量、降低功耗,而且包括人臉和物體識別在內的許多功能正在測試當中。雖然SK海力士的影像傳感器主要供應中低端智慧型手機,但是也想利用人工智慧爆發的契機,爭奪更多的市場份額。 短時間內,具備人工智慧的圖像傳感器或許還不能起到多大作用,但確實看起來非常吸引,也會為產品增加新的賣點。 ...

三星Galaxy S24系列歐版售價曝光:Ultra版本漲價

在本月早些時候我們曾報導三星Galaxy S24系列詳細配置被曝光,可能是因為目前時間臨近發布會,近日這款手機再度被曝出重要信息。 根據galaxyclub及wccftech消息,Galaxy S24系列歐版售價已曝光,對比上一代機型Galaxy S24及Galaxy S24+的其售價均有下降,但Galaxy S24 Ultra價格上漲,具體信息如下: Galaxy S24 8GB+128GB:899歐元(折合人民幣約7000元) Galaxy S24 8GB+256GB:959歐元(折合人民幣約7500元) Galaxy S24+ 12GB+256GB:1,149歐元(折合人民幣約9000元) Galaxy S24+ 12GB+512GB:1,269歐元(折合人民幣約10000元) Galaxy S24 Ultra 12GB+56GB:1,449歐元(折合人民幣約11000元) Galaxy S24 Ultra 12GB512GB:1,569歐元(折合人民幣約12000元) 作為對比,上一代機型Galaxy S23、Galaxy S23+以及Galaxy S23...

三星宣布與Red Hat合作,引領CXL記憶體生態系統的擴展

三星宣布,與開源軟體供應商Red Hat合作,在實際用戶環境中成功驗證了Compute Express Link(CXL)內存的操作。三星稱這在業界裡尚屬首次,將引領其CXL內存生態系統的擴展。 由於生成式人工智慧(AI)、自動駕駛和內存資料庫(In-Memory Database,IMDB)等新興領域的數據吞吐量和內存需求呈指數級增長,對具有更大內存帶寬和容量的系統的需求也在增加。CXL作為一種開放性的互聯協議,擁有更高的帶寬,能夠讓CPU與GPU、FPGA或其他加速器之間實現高速高效的互聯,滿足現今高性能異構計算的要求,並且提供更高的帶寬及更好的內存一致性。作為下一代接口,與主DRAM一起使用的時候,可以擴大帶寬和容量,滿足計算市場高速數據處理的需求。 在最新的開發中,三星針對Red Hat Enterprise Linux(RHEL)9.3做了CXL內存的優化工作,並驗證了在Red Hat的KVM和Podman環境下的內存識別、讀寫操作。這讓數據中心客戶可以輕松使用三星CXL內存,無需對現有硬體進行額外調整。三星和Red Hat還在合作編寫「RHEL 9.3 CXL內存啟用指南」,以幫助用戶在該作業系統上使用三星的CXL內存,並在各種用戶環境中構建高性能計算系統。 三星和Red Hat的合作始於2022年5月,雙方簽署了關於下一代存儲器合作的諒解備忘錄(MOU),並將通過三星存儲器研究中心(SMRC)繼續開發CXL開源和參考模型。目前雙方合作的范圍涵蓋一系列存儲和內存產品,包括NVMe SSD、CXL內存、計算內存/存儲和結構。 ...

三星推出Galaxy Book 3 Go筆記本:第三代驍龍7c+平台、45W快充

快科技12月27日消息,三星推出了Galaxy Book 3 Go筆記本,安裝了Windows 11 Home作業系統,並支持5G網絡連接。 據悉,新款筆記本與Galaxy Book 2 Go搭載了相同的處理器,都是第三代驍龍7c+計算平台,採用6nm工藝製造,CPU配有4個Cortex-A78內核和4個Cortex-A55內核,另外還有Adreno GPU。 同時,它得益於FastConnect 6700移動連接系統,帶來了更好的Wi-Fi 6/6E傳輸速度,支持藍牙5.1,集成的驍龍X53 5G數據機及射頻系統,可提供3.7 Gbps的連接速度。 此外,三星為Galaxy Book 3 Go配備了42.3Wh電池,提供了45W快速充電功能,充電30分鍾即可持續8小時。 接口方面,它具有兩個USB Type-C接口、一個USB Type-A接口和一個3.5mm音頻插孔,另外還有兩個1.5W揚聲器和720P網絡攝像頭。 新產品將於2023年1月2日起通過三星商店(包括線上和線下)和三大行動網路運營商(KT、LG Uplus和SKT)在韓國發售,售價為557700韓元(約合人民幣3078元)。 來源:快科技

三星推出Galaxy Book 3 Go筆電:搭載第三代驍龍7c+計算平台,支持5G網絡連接

三星宣布,推出Galaxy Book 3 Go筆記本電腦。這是一款價格相對實惠的Windows on Arm,接替去年推出的Galaxy Book 2 Go,安裝了Windows 11 Home作業系統,並帶有5G網絡連接。 Galaxy Book 3 Go整體尺寸為323.9 x 224.8 x 15.5 mm,重量為1.43kg,與Galaxy Book 2 Go搭載了相同的處理器,都是第三代驍龍7c+計算平台,採用6nm工藝製造,CPU配有4個Cortex-A78內核和4個Cortex-A55內核,另外還有Adreno GPU。其受益於FastConnect 6700移動連接系統,帶來了更好的Wi-Fi...

三星為控制Galaxy S24系列成本,128GB版僅支持UFS 3.1標准

此前有報導稱,在明年初即將到來的Galaxy S24系列上,三星不打算升級內存配置, 為削減成本不會提供16GB版本。此外,三星選擇推出搭載Exynos 2400的Galaxy S24系列產品,其中一個原因也是為了更好地控製成本,新一代產品售價對比Galaxy S23系列保持不變。 近日有網友表示,收到了來自三星供應鏈的爆料,Galaxy S24系列的128GB存儲版本僅支持UFS 3.1標准,而不是其他同系列產品的UFS 4.0標准。這意味著三星為了貫徹執行成本控制策略,在下一代旗艦系列智慧型手機的讀寫速度上作出了妥協。 目前三星基於UFS 4.0標準的最新存儲晶片搭配專有的主控時,順序讀取速度最高可達4200 MB/s,相比之前基於UFS 4.0標準的解決方案節能46%,且帶寬提升了一倍。加上IOPS的提高,基於新標準的存儲可以使手機有更快的響應速度,打開應用程式和其他任務變得更輕松。當然,普通用戶可能很難注意這一點差異,傳聞高通第三代驍龍8的定價比起第二代驍龍8更貴,三星不得不在其他配置上選擇妥協。 一些智慧型手機製造商宣傳自家產品整合了一流的核心硬體時,也會同時選擇其他一些零部件上縮水,比如圖像傳感器,以便於控製成本。像三星這樣在存儲解決方案上降低標准也是常見的手段,而且很多時候日常使用中沒有明顯差別,用戶不一定能感覺到配置的差異。 ...

傳三星將Exynos 2400的GPU頻率提高近一倍,Xclipse 940的CU數量不變

今年10月份,三星推出了新一代移動處理器Exynos 2400。其中GPU部分採用了Xclipse 940,以RDNA 3架構為基礎,提供了改進的遊戲和光線追蹤性能,不過三星並沒有給出具體的規格信息。 近日有網友透露,三星大幅度提高了Xclipse 940 GPU的頻率,相比起Exynos 2200搭配的Xclipse 920,從555 MHz提升至1095 MHz,幾乎實現了翻倍。同時Xclipse 940與Xclipse 920一樣,均配備了6個WGP、12個CU。有傳言稱,Xclipse 940搭配了14GB的顯存使用,理論上足以運行目前啟用光線追蹤技術的最新手機遊戲。 毫無疑問,提高頻率確實是最直接讓Xclipse 940 GPU獲得性能提升的方法。此前泄露的基準測試顯示,Exynos 2400的圖形性能似乎很不錯,接近於高通第三代驍龍8的成績,後者採用了Adreno 750 GPU。 過去有消息稱,三星因為Exynos 2200的發熱問題,降低了CPU和GPU的頻率,顯然這會非常影響其性能的發揮。傳聞Exynos 2400採用了4nm LPP+工藝製造,並首次應用了扇出型晶圓級封裝(FoWLP)封裝,三星希望占用更少的封裝面積,同時也讓晶片做得更薄,並改善晶片的散熱表現。 此外,Exynos 2400的CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心。按照三星的說法,性能比起Exynos 2200提升了70%, ...

《原神》三星堆聯動限定擺設領取方法

親愛的旅行者,「仰觀千秋,俯察萬象」活動已開啟~ 4.3版本期間,旅行者可前往游戲內活動界面,領取聯動限定擺設「奇景銅像-『悠古金面』」! 詳情見下方長圖。 來源:遊民星空

mini LED電視市場戰況激烈,中國企業對三星展開猛烈攻勢

今年在高端電視市場上,原本占有壓倒性市場份額的三星出貨量下降,其中mini LED電視產品的市場占有率大幅下滑,而TCL和海信等中國電視企業的銷量正在增加。韓國企業在高端電視市場主打的OLED電視產品,與中國企業的mini LED電視產品競爭也變得更加激烈。 據Business Korea報導,供應鏈的統計數據顯示,截至今年的第三季度,三星在全球mini LED電視市場的占有率為39%,海信和TCL分別以27%和26%緊隨其後,接下來的LG和索尼則分別只有4%和1%。 要知道在去年,三星在全球mini LED電視市場的占有率保持在70%以上,但是今年的出貨量比去年減少了26%,使得市場占有率大幅下滑。與此同時,海信的mini LED電視出貨量在短短一年內增加了18倍,而TCL的出貨量同比增長了112%。 在過去三四年裡,mini LED電視蓬勃發展,隨著mini LED組件的供應鏈在大中華區建立,進一步鞏固了中國企業的價格優勢。TCL通過其子公司華星光電,更是成功地垂直整合力完整的面板和產品線,確保了穩定的供應。隨著中國企業在mini LED領域越來越強勢,也開始挑戰三星和LG在高端電視市場的霸主地位。數據顯示,mini LED電視在高端細分市場已經成為OLED電視強有力的競爭對手,今年第三季度出貨量同比增長26%,達到了90.5萬台,緊跟OLED電視的136萬台。 去年TCL的電視出貨量首次超越LG,登上了第二名,而今年海信已升至第三名。三星和LG希望能利用技術優勢,應對中國企業的低成本戰略。 ...

三星將在日本建造先進半導體封裝研發基地:投資總額達到400億日元

近兩年來,封裝和測試設施越來越受到重視,技術層面的研究也變得更加深入。為了適應新一代晶片的製造要求,不少晶圓代工廠都在加快配套的先進設施建設,並加大相關技術的研究,投資規模也越來越大。 據Business Korea報導,三星計劃在日本橫濱建造先進半導體封裝研發基地,一方面期待未來通過3D堆疊提高晶片性能,另一方面會將研究重點放在人工智慧和第5代移動通信網絡的半導體封裝處理技術上。 三星之所以選擇在日本打造先進封裝研究中心,與供應鏈的合作是關鍵。目前三星正在與日本的材料及設備企業共同開發先進半導體技術,其中包括世界第四大半導體設備製造商東京電子(TEL)以及佳能、TDK和村田製作所等知名公司。此外,三星還計劃至2027年底,在當地聘用100多名半導體專家。 據了解,三星最初計劃投資300億日元,不過在日本政府的積極勸說及加大補助的承諾下,三星將投資總額提高至400億日元,其中政府補貼占了一半,達到了200億日元。日本政府希望以三星的投資為契機,吸引更多國外的投資,提高自身半導體產業的競爭力。 ...

三星Galaxy S24全系配置被曝光,韓國時間明年1月18日發布

近日,X博主@Evleaks發表推文曝光了三星Galaxy S24全系列機型的具體配置,而且曝光了該機型發布會「Galaxy Unpacked」的倒數頁面,頁面右側是動圖,顯示「Galaxy AI is coming」,而左側則是倒計時計時表,根據倒計時推算,「Galaxy Unpacked」發布會將於韓國時間2024年1月18日召開。 根據曝光圖片,三星Galaxy S24全系均配備第三代驍龍8移動平台,但Galaxy S24僅提供8GB+128GB/8GB+256GB版本,而Galaxy S24+及Galaxy S24 Ultra則會提供12GB+256GB/12GB+512GB版本。 在螢幕方面全系均配備三星第二代Dynamic AMOLED直屏,最高亮度均為2600nits,但Galaxy S24的解析度是1080P,Galaxy S24+及Galaxy S24 Ultra則是2K。 影像配置方面,Galaxy S24及Galaxy S24+配備5000萬像素的主攝,長焦鏡頭在Space Zoom功能的加持下最高可實現30倍變焦效果,而且支持2倍/3倍的光學變焦,而Galaxy S24 Ultra則配備2億像素主攝,長焦鏡頭在「Space Zoom」功能的加持下最高可實現100倍變焦效果,支持2倍/3倍/5倍/10倍光學變焦。視頻拍攝方面全系均支持最高8K解析度拍攝。 續航充電方面,Galaxy S24電池容量為4000mAh,30分鍾以內可從0%充到50%,Galaxy...

iPhone 15 Pro擴容神器 三星移動固態硬碟T5 EVO上手

三星正式推出了一款輕型移動固態硬碟T5 EVO。這款硬碟採用了全新的設計,此前並未在三星的產品序列中出現過,目前三星T5 EVO已經正式上市,我們最近也是上手了三星這塊全新的T5 EVO。 三星T5 EVO有哪些特點,具體表現又如何呢?一起通過本篇評測了解一下吧! 先說結論:三星移動固態硬碟T5 EVO並非對標最新的T9或是其他T的數字系列,而是占據市面上仍廣泛存在的移動機械硬碟產品的生態位。 T5 EVO強調的並非高傳輸速度(當然其速度已經能夠碾壓主流機械硬碟產品),而是小巧便攜與超大的存儲空間。 三星推出T5 EVO的意圖十分明確——移動機械硬碟的時代該結束了,大容量移動固態硬碟勢必要革移動機械硬碟的命。 三星T5 EVO包裝制式與T系列其他產品基本一致,盒身採用了淺藍至深藍的漸變色。正面印有產品外觀、T5 EVO的名稱以及基本參數。 三星T5 EVO支持最高460MB/的傳輸速度,我們拿到的這款為8TB的版本。三星表示T5 EVO兼容Windows、macOS以及安卓系統。 三星T5 EVO的尺寸基本為40mm x 95mm×17 mm,這個大小介於部分移動電源和氮化鎵充電器之間。官方標稱硬碟重量為102g,實測重量為98.2g。 T5 EVO採用了全新設計的外觀,主體部分為圓角稜柱。硬碟主體為金屬材質,盤身外部被一層黑色橡膠材質包裹,十分親膚,握持手感舒適。這類材料除了比較容易沾灰外基本沒什麼缺點。 盤身正面為“SAMSUNG”字樣,背面則寫明了產品名稱“Portable SSD T5 EVO”。 硬碟左側設計了一個金屬把手,這使得T5 EVO可以作為一個掛件掛到包上或者鑰匙扣上。看得出三星對T5 EVO的防護性能十分自信。 以文字方向為准,三星T5 EVO的接口被設計在了硬碟左側,接口規格為USB 3.2...

三星考慮為2nm訂單提供折扣,3nm GAA良品率仍然不穩定

三星和台積電(TSMC)都計劃在2025年量產2nm工藝,而三星希望能搶先一步實現量產,以速度壓倒對方,從而在新一代製程節點上獲得競爭優勢。盡管三星和英特爾最近都非常努力,採取了各種積極的措施,使得2nm代工的競爭加劇,但種種跡象表明,台積電仍將獲得最多的訂單,而且優勢是巨大的。 據TrendForce報導,三星為了獲得英偉達等行業巨頭的訂單支持,正在考慮為2nm訂單提供折扣,以進一步挑戰台積電的領導地位。三星希望經過兩年左右時間的調整後,能在2nm訂單爭奪中超過台積電。 三星在去年6月量產了SF3E(3nm GAA),引入全新的GAA(Gate-All-Around)架構電晶體技術。明年計劃帶來名為SF3(3GAP)的第二代3nm工藝技術,將使用「第二代多橋-通道場效應電晶體(MBCFET)」,在原有的SF3E基礎上做進一步的優化,之後還會有性能增強型的SF3P(3GAP+),更適合製造高性能晶片。到了2025年,三星將會開始大規模量產SF2(2nm)工藝。 據了解,目前三星在3nm GAA工藝上的良品率為60%,距離70%的及格線始終有一段距離。同時三星的良品率非常不穩定,使得高通取消了第四代驍龍8的雙代工廠計劃,至少未來一年仍完全依賴台積電,新的代工策略被迫推遲至2025年。良品率始終是三星需要面對的首要問題,如果在2nm工藝上得不到解決,即便提供更大的折扣,有著明顯的價格優勢,也難以吸引大客戶的訂單。 ...

三星與ASML達成協議:獲得High-NA EUV光刻設備技術的優先權

近年來,ASML站到了世界半導體技術的中心位置。目前ASML有序地執行其路線圖,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,預計會在未來幾個月內交付。 數周前,三星電子會長前往荷蘭,與ASML討論了幾項半導體業務。據Sammobile報導,三星已在上周與ASML簽署了一項價值1萬億韓元(約合7.7億美元/人民幣54.9億元)的協議,雙方將在韓國京畿道東灘投資建設半導體晶片研究設施,並在那裡共同努力改進EUV光刻製造技術。 這次三星獲得了High-NA EUV光刻設備技術的優先權,有助於確保購入下一代High-NA EUV光刻設備,為其DRAM存儲晶片和邏輯晶片的生產創造出優化High-NA EUV技術使用的機會。除了確保2nm晶片製造設備進入韓國,三星更看重的是與ASML建立的合作夥伴關系,以便更好地利用下一代光刻設備。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵,同時每小時能生產超過200片晶圓。此前英特爾已宣布購買業界首個TWINSCAN EXE:5200系統,計劃從2025年使用High-NA EUV進行生產。 據了解,ASML計劃明年生產10台High-NA EUV系統,其中英特爾已購入了6台。有消息稱,台積電計劃在2024年引入High-NA EUV系統,為2025年末2nm工藝進入大批量生產做好准備。ASML打算未來幾年內,將High-NA EUV系統的年產量提升至20台。 ...

下一代CFET電晶體密度翻倍:英特爾、台積電和三星展示各自方案

在上周的IEEE IEDM會議上,英特爾、台積電(TSMC)和三星展示了各自的CFET電晶體方案。堆疊式CFET架構電晶體是將n和p兩種MOS器件相互堆疊在一起,未來將取代GAA(Gate-All-Round),成為新一代電晶體設計,以實現密度翻倍。 英特爾是首個展示CFET方案的晶圓代工廠,早在2020年就公開了首個早期版本。這次英特爾介紹了CFET製造的最簡單電路之一,即反相器的幾項改進。CMOS反相器將相同的輸入電壓發送到堆棧中兩個設備的柵,並產生一個邏輯上與輸入相反的輸出,而且反相器在一個鰭上完成。英特爾同時還將電晶體使用的納米片數量從2個增加到3個,垂直間隙也從50nm減小到30nm。 目前5nm製程節點的柵極間距為50nm,不過這是使用單側互連的簡單FinFET。三星展示的CFET方案里,柵極間距為45/48nm,比起英特爾的60nm要更小。盡管三星的CFET原型里45nm柵極間距版本性能有所下降,但研究人員認為通過對製造過程的優化可以解決這個問題。三星成功之處是能夠電氣隔離堆疊的n和p兩種MOS器件的源和漏,關鍵步驟是使用一種涉及濕化學品的新型干刻蝕來替代濕法刻蝕。另外與英特爾單個電晶體使用3個納米片不同,三星是成對電晶體使用單個納米片。 台積電與三星一樣,設法將柵極間距控制在48nm,其CFET方案的特點包括一種在頂部和底部電晶體之間形成介電層的新方法,以保持間距。納米片通常由矽和矽鍺的交替層形成,台積電嘗試使用矽鍺專用刻蝕方法,在釋放矽納米線之前於兩個電晶體之間構建隔離層。 據了解,CFET技術轉化為商業大規模使用大概還需要7到10年的時間,在此之前仍然有許多前期准備工作要完成。 ...

三星發布兩款全新ISOCELL Vizion傳感器:專為機器人和XR應用量身定製

三星宣布,推出兩款全新ISOCELL Vizion傳感器,分別是ToF傳感器ISOCELL Vizion 63D和全局快門傳感器ISOCELL Vizion 931,目前正在向全球OEM廠商提供樣品。三星在2020年首次發布ISOCELL Vizion系列傳感器,覆蓋ToF傳感器和全局快門傳感器,專門設計用於廣泛的下一代移動、商業和工業用例,以提供視覺功能。 與蝙蝠利用回聲定位在黑暗中導航類似,ToF傳感器通過計算發射光到達和離開物體所需的時間來測量距離和深度。ISOCELL Vizion 63D專為捕捉高解析度3D圖像與特殊的細節而設計,屬於間接ToF傳感器,可以測量發射光和反射光之間的相移,以三維方式感知周圍環境,服務於工業機器人以及XR設備,也是實現安全的面部生物識別的理想選擇。 ISOCELL Vizion 63D能以QVGA(320 x 240)30fps的速度捕獲圖像,1/6.4 英寸傳感器具有3.5μm像素,可用於拍攝VGA(640 x 480)解析度的視頻。同時ISOCELL Vizion 63D是業內首款集成深度傳感硬體ISP(圖像信號處理器)的傳感器,利用增強光吸收的後向散射技術(BST),實現了業界最高水平的量子效率,從而以最小的運動模糊產生更清晰的圖像質量。 ISOCELL Vizion 931可以在不變形的情況下,類似於人眼看到場景的方式,拍攝快速移動的物體,非常適合用於XR設備、遊戲系統、服務和物流機器人、以及無人機的運動跟蹤。其採用VGA(640 x 480)解析度,有著業界最高水平的量子效率,可用在XR頭戴式設備中的虹膜識別、眼動追蹤以及面部和手勢檢測。 除了利用增強光吸收的後向散射技術外,ISOCELL Vizion 931還採用了前深溝隔離技術(FDTI),在像素之間設置了一個絕緣層,以最大限度地吸收光線。此外,ISOCELL...

三星新款G95C顯示器上架:49英寸曲面屏,雙2K@240Hz,售價8999元

近日,三星推出了新款玄龍騎士G95C遊戲顯示器。目前新產品已經在電商平台上架,顯示價格為8999元。 G95C遊戲顯示器,京東地址:點此前往>>> G95C遊戲顯示器採用了「影刃」設計語言,帶有磨砂質感黑色背板,「日蝕」燈環突出了簡約潮流的電競氛圍。其採用了49英寸的曲面螢幕,為VA面板,曲率為1000R,10bit色深,顯示比例為32:9,約等於兩台27英寸顯示屏橫向拼接,解析度為雙2K(5120 x 1440),刷新率為240Hz,響應時間(GtG)為1ms,峰值亮度為1000尼特,DCI-P3色域為92%,HDR10+Gaming成像支持,AMD FreeSync Premium Pro同步技術可減少畫面撕裂,並得到了VESA DisplayHDR 1000認證和德國萊茵TUV護眼認證。 該款顯示器支持PBP/PIP分屏功能,雙信號源輸入後可通過系統中開啟分屏或畫中畫功能,用戶可通過一個螢幕進行更便捷的多任務處理。用戶辦公時可通過系統菜單開啟「自適應圖像」功能,先進的環境光傳感器可根據環境實時調整螢幕亮度及色溫,無需用戶手動調整。 三星為G95C遊戲顯示器配備了兩個HDMI 2.1接口和一個DisplayPort 1.4接口,同時還帶有USB集線器功能,提供了兩個USB 3.0接口。顯示器採用了免螺絲的便捷安裝設計,搭配了自由調整的超薄升降底座,節省桌面空間的同時,又能有效保護頸椎。 ...

三星發布Galaxy Book 4系列筆記本:酷睿Ultra+自研安全晶片

快科技12月15日消息,三星今日發布了Galaxy Book 4系列筆記本,將於明年1月上市開售。 據悉,Galaxy Book 4系列共有四款產品,分別為Galaxy Book 4 Pro(14/16英寸螢幕)、Book 4 Pro 360和Galaxy Book 4 Ultra。 性能方面,新款筆記本最高配備酷睿Ultra 9,P核可以加速到5.1GHz,核顯可以達到2.35GHz。 螢幕方面,Galaxy Book 4 Pro / Pro 360 / Ultra型號搭載Dynamic AMOLED觸控螢幕,擁有3K解析度120Hz刷新率,具備“Vision...

三星正式發布Galaxy Book 4系列筆電:酷睿Ultra+自研安全晶片,明年1月上市

三星宣布,正式推出Galaxy Book 4系列筆記本電腦,將會在明年1月上市。三星表示,新產品搭載了全新的智能處理器,帶有更生動、更具交互性的顯示屏和強大的安全系統,並結合了超便攜設計、更高的性能和無限的連接性,重塑了PC體驗,開啟了AI PC的新時代。 Galaxy Book 4系列共有四款產品,分別為Galaxy Book 4 Pro(14/16英寸螢幕)、Book 4 Pro 360和Galaxy Book 4 Ultra。值得一提的是,這次三星首次搭載了其自研的Knox安全晶片,以提供更為強大的安全性能。 ...

三星Exynos 2400或受益於FoWLP封裝,擁有更好的功耗和散熱管理

今年10月,三星推出了新一代移動處理器Exynos 2400。三星在Exynos 2200基礎上進行了多項改進,其中CPU性能提升了70%,人工智慧(AI)工作負載加速更是提升了驚人的14.7倍,同時GPU還引入了RDNA 3架構,提供了改進的遊戲和光線追蹤性能。 不過在發布時,三星並沒有透露具體的細節信息,比如SoC採用的工藝和封裝。近日有網友透露,Exynos 2400在設計上擁有多項優勢,包括:與AMD共同設計的GPU,有超越蘋果A17 Pro的圖形性能;採用4nm LPP+工藝製造,三星積累的工藝經驗發揮了作用,帶來了更高的良品率和性能表現;首次應用扇出型晶圓級封裝(FoWLP)封裝,與傳統封裝相比,占用更少的封裝面積,在不增加晶片尺寸的情況下具有更多的觸點數量,晶片也可以做得更薄,並改善了散熱表現。 根據之前流出的基準測試成績,Exynos 2400的Xclipse 940 GPU在性能方面確實有較大的提升,高於第二代驍龍8,略低於第三代驍龍8。當然,大家更為關心的是功耗和散熱表現,這也是之前Exynos 2200被詬病的主要地方之一。 Exynos 2400的CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心。按照三星的說法,性能比起Exynos 2200提升了70%,不知道是否能趕上高通的步伐。 ...

《風暴之城》基石伐木工的祈禱有什麼效果

《風暴之城(Against the Storm)》中的每年初都可選一個基石,商人也會賣一些基石。細雨季來臨時根據目前狀況評估來決定哪種基石易於後續發展,基石伐木工的祈禱就是其中一個,效果就是木頭產量+1,選擇該基石後失去現存所有燃料。 風暴之城基石伐木工的祈禱有什麼效果 基石伐木工的祈禱的效果就是木頭產量+1,選擇該基石後失去現存所有燃料。有的局前中期可能會缺木材,只要出現它的時候你缺木頭,且當時沒有其他劃算的燃料來源(三星煤炭、充足的榨油原料、海洋精華產出線等),那就選它。 來源:3DMGAME

傳聞稱Exynos 2400有利於三星進行成本控制,因此三星Galaxy S24不會漲價

此前就有消息傳出,第三代驍龍8移動平台的購買成本會比上一代產品更高,這種成本上漲的現象將進一步削減手機廠商的利潤空間,也將導致許多即將發布的旗艦手機很大可能會提高售價。但值得慶幸的是,根據Hankyung消息稱,因為三星的Galaxy S24系列手機將推出Exynos 2400版本,可以讓Galaxy S24系列的總生產成本得到控制,因此盡管部分地區的三星Galaxy S24系列手機的SoC將搭載第三代驍龍8移動平台,但其售價對比Galaxy S23系列將保持不變。 雖然目前網上沒有關於Exynos 2400的成本信息,但這款SoC是三星使用自己的代工廠大規模生產的產品,這意味著對三星而言該晶片的生產成本要比第三代驍龍8移動平台的購買成本更低,所以即使保持Galaxy S24系列的售價不變會也不會影響三星集團的財務狀況。 此前我們也有報導韓國相關媒體稱三星對Galaxy S24系列的目標出貨量是2024年底超過3520萬台,而Hankyung亦在文章內說道,三星將Galaxy S24系列的目標出貨量定為明年年底突破3300萬台,對比上一代機型的出貨量將有10%的增長。 三星最近還申請了"AI Phone "和"AI Smartphone "商標,這意味著除了常規的硬體升級外, Galaxy S24系列應該還將具備一些人工智慧功能。根據目前已曝光消息,三星計劃在2024年1月17日於美國加利福利亞州聖何塞舉辦Galaxy S24系列的發布會。 ...

《風暴之城》開局三張藍圖怎麼選比較好

《風暴之城(Against the Storm)》中開局的三張藍圖是遊戲里非常重要的東西,而想要用這三張藍圖的話可以先看看能不能開出沃土,在開出沃土前最多有一個農田建築藍圖就夠了,太賭了容易輸很慘。 風暴之城開局三張藍圖怎麼選比較好 除了起步獎勵,開局三張藍圖也很重要。在開出沃土前最多有一個農田建築藍圖就夠了,太賭了容易輸很慘。 前三張藍圖最好是能用現成的資源滿足精緻食物需求(麵粉、肉乾、餅干、肉串等)以及比初加工站更好的建材廠(尤其是鋸木廠,3星木板不僅節省木頭而且製作更快;其他三星配方同理)。 和地圖搭配很好的一些藍圖或製作配方(高難度下的推薦) 來源:3DMGAME

三星宣布量產31.5英寸UHD解析度QD-OLED屏,明年還有27英寸QHD@360Hz產品

三星宣布,從本月開始全面啟動31.5英寸UHD(3840 x 2160)解析度QD-OLED螢幕的量產工作。 據三星的介紹,其利用先進的超精密噴墨列印技術應用到QD(量子點)發光層,通過優化噴墨嘴和噴射嘴,成功地打造了140PPI高密度超高清(UHD)顯示屏產品,實現了「自發光顯示器中最高級別的像素密度和UHD解析度」,這相當於目前市面上65英寸8K電視的像素密度。 由於顯示器的觀看距離比一般電視更近,因此用戶對畫質的敏感度會更高,而且市場上現階段30英寸以內的OLED螢幕普遍為QHD(2560 x 1440)解析度,而部分喜歡遊戲和高清視內容的消費者對UHD產品情有獨鍾,相信新款QD-OLED螢幕能夠滿足他們的需求。 此外,三星還計劃明年帶來業界最高360Hz刷新率的27英寸QHD(2560 x 1440)解析度QD-OLED螢幕,遠高於現有的240Hz同類產品。三星憑借其獨有的基於人工智慧的驅動算法「量子增強器」,實現刷新率的革命性提升,同時響應時間控制在0.03ms,為FPS玩家帶來不凡的遊戲體驗。 三星表示,計劃通過27英寸、31.5英寸、34英寸和49英寸等多種產品線,開發新客戶,積極拓展高端顯示器市場,力求將QD-OLED螢幕的出貨量擴大至去年同期的兩倍以上。 ...

三星為Galaxy S24系列制定出貨目標:明年底銷量超過3500萬台

三星今年推出的Galaxy S23系列獲得了不錯的評價,雖然與上一代有著不少相似的設計,但內部帶來了實質性的升級,包括搭載高通定製的第二代驍龍8平台,有著高效的性能表現。其中Galaxy S23 Ultra已經連續數個季度排在了全球最暢銷智慧型手機榜單的前列,而整個Galaxy S23系列的總銷量已超過了2500萬台。 據The Elec報導,三星已經為Galaxy S24系列制定銷售目標,明年底將出貨超過3520萬台。其中Galaxy S24為1350萬台,Galaxy S24+為580萬台,Galaxy S24 Ultra將超過其他兩款機型,達到了1590萬台。 今年Galaxy S24系列毫無疑問會搭載高通定製的第三代驍龍8平台,同時三星也會在部分地區銷售的Galaxy S24和Galaxy S24+上搭載自家的Exynos 2400平台。傳聞Galaxy S24 Ultra帶有鈦金屬中框,配備了2億像素的主攝像頭、1200萬像素的超廣角鏡頭、1000萬像素長焦鏡頭以及5000萬像素的潛望式長焦鏡頭,內置5000mAh電池,並支持45W快速充電。 此前有消息稱,三星計劃在2024年1月17日於美國加利福利亞州聖何塞舉辦Galaxy S24系列的發布會,客戶可以在韓國首爾時間1月19日開始預訂Galaxy S24系列,首批設備會在1月26日至1月30日發送。 ...
小米首次進軍顯示器!極致性價比 註定爆款

電競顯示器最新線上銷量榜出爐:KTC逆襲泰坦軍團、聯想擠下三星

快科技12月13日消息,今日,洛圖科技發布《中國大陸顯示器線上零售市場月度追蹤》報告。 數據顯示,今年11月,中國大陸電競顯示器線上市場(不含抖快等內容電商)的銷量為50萬台,同比下降18.8%,環比上漲16.6%。 據介紹,在11月排名中,KTC逆襲泰坦軍團,成為當月銷量第三名,同時,靈蛇、聯想擠下了雷神和三星,進入Top10。 具體來看,AOC成為11月線上電競市場銷量冠軍,當月零售量超8萬台,其中單一機型Q27G2S/D銷量貢獻較大,不過其它機型的表現平淡,整體同比下降28%。 HKC排名榜單第二名,當月零售量近6萬台,同比增長14%,其新機型銷量成為主要增長點。 11月中國大陸電競顯示器線上市場TOP品牌銷量及變化 KTC當月銷量超5萬台,同比增長132%,能夠逆襲泰坦軍團與H24T09 Plus和H27T22S的大賣有很大關系。 而被KTC頂下的泰坦軍團11月銷量不足5萬台,同比下降21%。 洛圖科技指出,該品牌在1000-1500元價格段的產品正在失去優勢。 第五至第十名分別是華碩、SANC、小米、LG、靈蛇和聯想。 其中,SANC、聯想的銷量排名與去年同期相比均提升了5名左右,銷量增速也相對可觀,和上月相比,本月雷神和三星從排名前十中跌出。 來源:快科技

三星正在重組整個面板開發團隊,以應對未來蘋果的可折疊產品

此前有報導稱,蘋果計劃推出一系列全新的可折疊設備,可折疊的iPhone、Macbook和iPad都是目標,為此還與供應商討論產品線可行性,態度可以說十分積極。 據The Elec報導,三星正在重組整個面板開發團隊,以提高運營效率,應對未來蘋果的可折疊產品,預計出貨量將達到數百萬的級別。對三星來說,這是一個很好的機會,盡可能爭取最大的訂單量來增加收入。此外,LG也在與蘋果合作,不過具體的研發進度暫時還不清楚。 蘋果最終還是會深入到可折疊產品市場,而作為面板供應商的三星和LG,將幫助蘋果實現其產品目標。傳聞三星和LG都在開發適用於蘋果可折疊產品的20.25英寸螢幕,而且已經在為一款搭載該款面板的未知設備做測試,但不清楚到底屬於iPad還是Macbook。 有點意外的是,竟然沒有關於可折疊iPhone的內容,這是能為蘋果創造最大收入的來源,而且市面上可折疊智慧型手機的型號和銷售量都在不斷提升,經過了數年的發展,所使用的面板技術已經相對比較成熟了,理論上應該更接近於蘋果的要求。過去也曾傳出有關可折疊iPhone的信息,但近兩年似乎沒有新的消息了。 有業內人士稱,蘋果首款可折疊產品更有可能是iPad,傳聞蘋果已經在加速該項目,最快會在2024年底出現。 ...

由於成本上漲及人工智慧功能缺乏吸引力,媒體預測三星蘋果新機型不會升級記憶體

今年隨著第三代驍龍8移動平台的發布,支持人工智慧功能成了智慧型手機新的發展方向。不過日前,瑞穗證券(Mizuho Securities)及相關媒體人預測,由於目前的人工智慧功能實用價值不高,所創造內容對用戶缺乏吸引力,而且最近半導體價格還在不斷上漲,因此三星和蘋果會認為在Galaxy S24和iPhone 16上集成大容量內存的意義不大,這兩款新機型升級內存容量的可能性不高。 根據wccftech消息,在瑞穗證券最新的報告內有提到智慧型手機晶片成本持續上漲,據傳第三代驍龍8移動平台的成本已高於上一代產品,而明年的第四代驍龍8移動平台將採用台積電的"N3E"工藝進行製造,成本將會繼續增加,而且傳聞稱第四代驍龍8移動平台將是高通公司有史以來最貴的SoC。所以瑞穗證券預測,隨著這些成本的增加,三星和蘋果等手機製造商對於新機型的配置升級將採取保守的態度,尤其在內存容量方面將落後於其他品牌。 Revegnus也針對瑞穗證券的預測在X平台上發表了個人看法,他認為除了半導體價格上漲之外,目前人工智慧生成的內容對用戶缺乏吸引力,所以三星和蘋果認為沒有必要為此在Galaxy S24和iPhone 16上升級內存配置。 目前關於iPhone 16,已有傳聞稱蘋果將對其麥克風配置進行升級,以改善Siri和其他人工智慧相關功能的體驗。此外,傳聞三星也正在開發一種新的UFS 4.0快閃記憶體以運行人工智慧相關功能,但尚未有消息確認是否會用在Galaxy S24系列機型上。 ...

差價700元 長江致態4TB SSD、三星990 Pro對比:買誰一目瞭然

快科技12月8日消息,長江致態發布了4TB SSD,不過是兩個版本,一個是QLC顆粒,而另外一個是TLC顆粒。 先說最便宜的Ti600,讀取寫入速度跟TiPlus 7100保持一致(4TB版本),不過耐用等級只有1600TBW,對應的價格也更便宜,1299元(QLC顆粒)。 TiPlus 7100 4TB採用長江存儲原廠 TLC 顆粒,支持PCIe 4.0,順序讀取速度 7000 MB/,順序寫入速度6000 MB/,隨機讀取速度900k IOPS,隨機寫入速度800k IOPS,耐用等級2400TBW。 再來說下,很顯然TiPlus 7100 4TB是來搶三星990 Pro的市場,而同版本下,兩者的參數相差不是很多主要集中在讀寫速度上。 在PCIe4.0的性能上,三星990 Pro 4TB無疑更強勁,其讀寫速度分別是7450MB/和6900MB/,隨機性能則是1600K和1550K IOPS。 雖然TiPlus 7100 4TB在上述參數上落後,但畢竟便宜了700元,所以整體上來說,也是主打性價比,而兩者總寫入字節數(TBW)都是2400。 所以不想出現0E問題的話,還有性價比的話,大家都知道怎麼選了? 來源:快科技

三星大量訂購2.5D封裝設備:可能用於NVIDIA下一代Blackwell GPU

三星最近宣布推出SAINT技術,這是與台積電CoWoS封裝相對標的技術,希望以此來加入人工智慧潮流的競爭,有消息稱三星已經訂購了大量2.5D封裝設備,這暗示這家韓國劇透可能看到NVIDIA等行業巨頭的巨大需求。 根據The Elec的報導,三星已經從日本新川公司收購了16台封裝設備,目前三星已經收到了7台設備,並且可能會在有需求時追加更多設備的訂單。三星有望向業界展示其封裝和HBM能力,並以此吸引NVIDIA的注意,我們都知道現在NVIDIA的供貨並不能滿足人工智慧市場的巨大需求,台積電CoWoS的產能不足是當中重要的原因,他們計劃讓供應鏈多元化,三星是一個可能性非常高的選擇。 NVIDIA的目標是到2027年從AI領域創造高達3000億美元的收入,這需求非常強的供應鏈,這就是為什麼說下一代GPU Blackwell,NVIDIA計劃分配HBM3和2.5D封裝供應給三星,減少台積電等現有廠商的工作量。 這對三星來說確實是個好消息,因為該公司正急於踏入AI潮流,透過與NVIDIA達成交易,他們不僅可以讓其內存和高級封裝部門的財務好轉,而且他們也獲得了AMD和特斯拉等公司的訂單,這表明他們確實可以成為未來的關鍵參與者,這一切都取決於三星如何對應市場的巨大需求,特別是已獲得的半導體、封裝和內存訂單。 ...

記憶體又要漲價了 最高可達18%

據市場研究機構TrendForce的最新研究報告顯示,2023年第三季度全球DRAM產業合計營收達134.80億美元,環比增長約18.0%。下半年需求緩步回溫,買方重啟備貨動能,使各原廠營收皆有所成長。 展望第四季,原廠漲價態度明確,第四季DRAM合約價上漲約13%~18%;需求面回溫程度不如過往旺季。 整體而言,買方雖有備貨需求,但以目前來說,伺服器領域因庫存水位仍高,拉貨態度仍顯被動,第四季DRAM產業出貨增長幅度有限。 具體來說,第三季營收方面,三星、SK海力士、美光這三大原廠營收皆有成長,因AI需求增長,對高容量DRAM產品需求維持穩定,加上1alpha納米DDR5量產後量價齊升,帶動三星第三季DRAM營收季增幅度約15.9%,約52.50億美元。 SK海力士(SK Hynix)受益於HBM、DDR5產品品質相對穩定,出貨量連續三個季度增長,加上平均銷售單價季增約10%,營收約46.26億美元,季增幅達34.4%,是原廠成長最顯著業者,與三星市占率差距縮小至不到5%。 美光平均銷售單價小幅下跌,然因需求回溫,出貨量增加,支撐營收季增幅約4.2%,達30.75億美元。 產能規劃面,第三季末三星為有效減緩庫存壓力而擴大減產,主要針對庫存偏高的DDR4產品,第四季減產幅度擴大至30%,總投片量下滑,三星認為2024下半旺季需求將回溫,故投片量明年第二季開始提升。 SK海力士受益於HBM及DDR5出貨增長,產能小幅回升,投片量至今年底會小幅上升,搭配明年DDR5於終端滲透提升,預期總投片量將逐季上升。 美光因減產較早,庫存水位相對健康,第四季投片已開始回升,主要1beta納米先進位程增加,2024年投片量仍小幅上升,產能擴張重心落在製程轉進。 台系廠商方面,南亞科技(Nanya)出貨受益於PC客戶備貨需求及現貨市場帶動,出貨量成長17%~19%;南亞科技主流DDR3、DDR4產品需求相對疲乏,價格仍呈下滑走勢,限縮營收漲幅,最終營收僅達2.44億美元。 華邦電子(Winbond)定價策略較積極,為拓展DDR3業務,去化KH廠新增產能,議價彈性大,故出貨成長,第三季營收上升至1.12億。 力積電(PSMC)營收計算主要為自身生產消費級DRAM產品,不包含DRAM代工業務,受惠現貨價格上漲,使需求小幅上升,帶動DRAM營收季增4.4%,若加計代工營收則季減5.5%。 來源:快科技

DDR4/DDR5集體大漲價 三星等存儲大廠態度明確:將繼續減產

快科技12月4日消息,隨著存儲晶片大廠減產保價策略奏效,三星等態度很明確,Q4將繼續減產。 據TrendForce集邦咨詢調查顯示,2023年第三季內存產業合計營收達134.80億美金,季增長率約18.0%。 其中,三星Q3營收增長15.9%,SK海力士增長24.3%,美光增長4.2%。 第四季度,存儲大廠漲價態度明確,預估第四季內存合約價上漲約13~18%。 以三星為例,第三季底,三星為有效減緩庫存壓力而擴大減產,主要針對庫存偏高的DDR4產品,第四季減產幅度會擴大至30%。 據報導,內存Q4合約價報價優於市場預期,DDR5上漲15-20%,DDR4上漲10-15%,DDR3上漲10%,漲幅優於原先預估的5-10%。 台灣工商時報近日報導還指出,隨著三星、SK海力士等國際存儲器大廠減產幅度擴大,美光減產更是將持續到2024年。 來源:快科技

三星計劃12月15日發布Galaxy Book 4系列:或首款AI筆電,搭載自研AI模型

此前三星新一代Galaxy Book 4系列筆記本電腦的外觀及配置就被曝光,共有五款型號,且共享相同的I/O接口設計。英特爾Meteor Lake將會在2023年12月14日上市,Galaxy Book 4系列也有望成為搭載新款處理器的首批終端設備。 據相關媒體報導,三星計劃在2023年12月15日發布Galaxy Book 4系列,讓其成為「全球首款AI(人工智慧)筆記本電腦」。據稱,Galaxy Book 4系列有望搭載三星自研的AI模型「三星高斯(Samsung Gauss)」,利用處理器的硬體AI功能,支持本地化運行。 要知道Galaxy Book 3系列是在2023年2月發布的,可以說為了爭取盡早占據AI PC市場,三星在新一代產品上提前行動。不過Galaxy Book 4系列筆記本電腦的上市時間可能還是會晚一些,有人猜測可能仍然要等到2024年1月初的CES 2024大展以後。 ...

三星等存儲大廠減產效果奏效 記憶體大漲價 DDR5最高上浮20%

存儲晶片大廠減產保價策略奏效,Q4合約價報價優於市場預期,DDR5上漲15-20%,DDR4上漲10-15%,DDR3上漲10%,漲幅優於原先預估的5-10%。 台灣工商時報近日報導還指出,隨著三星、SK海力士等國際存儲器大廠減產幅度擴大,美光減產更是將持續到2024年。 業界預期,在供給減少,加上AI帶動需求推波助瀾下,存儲器市場轉揚態勢確立,尤其DDR5正邁向主流規格之路,更是助攻市場需求的要角。 據悉,DDR、LPDDR、GDDR是基於DRAM的三種內存規范或標准。DDR因其性能和成本優勢成為目前PC和伺服器端主流內存。 2020年7月,JEDEC(固態技術協會)正式發布新一代主流內存標准DDR5 SDRAM的最終規范。 為滿足對高效內存性能日益增長的需求,DDR5相比其前身DDR4實現了性能的大幅提升,具體為傳輸速度更快、能耗更低、穩定性提高、內存密度更大和存取效率提高等。 東吳證券張良衛等7月研報指出,JEDEC(固態技術協會)將DDR5描述為一種“具備革命意義”的內存架構,迎合AI、雲計算、物聯網等新技術帶來的存儲和數據的傳輸需求。 當前市場正在經歷由DDR4至DDR5的更新換代,DDR5的普及有望為行業帶來新一輪增長。 德邦證券陳海進等11月28日研報指出,隨著PC與伺服器領域平台的更新疊代,DDR5需求日益提升。據全球半導體觀察,近期威剛、十銓科技、宇瞻等模組廠商亦積極投入DDR5。 其中,威剛對外表示,現階段觀察到存儲需求端主要來自於PC,客戶需求明顯好轉,且隨著PC存儲器容量提升,預期明年上半年DDR5出貨量將會超越DDR4。 據《科創板日報》10月報導,消息人士透露,為了應對DDR5 DRAM不斷增長的需求,三星預計將在今年第四季度大幅提高DDR5產量,以應對明年可能出現的強勁訂單需求。 DDR5產業鏈方面,陳海進建議關注瀾起科技和聚辰股份。陳海進指出,DDR4階段,全球研發並量產伺服器內存接口晶片的企業主要包括3家,分別為瀾起科技、IDT和Rambus。DDR5階段的競爭格局和DDR4階段類似,全球只有瀾起科技、IDT和Rambus三家企業可提供第一子代量產品。 瀾起科技在11月23日披露投資者關系活動記錄表中表示,公司保持著在內存接口晶片領域的相對領先態勢。 公司牽頭制定DDR5RCD及MDB晶片的國際標准,研發持續領先。近兩年,公司在業界率先試產DDR5第二子代RCD晶片、第三子代RCD晶片,目前正在開展DDR5第四子代RCD晶片的工程研發。 東北證券李玖等11月9日研報指出,聚辰股份與瀾起科技合作開發配套新一代DDR5內存條的SPD EEPROM產品,嚴格遵守JEDEC DDR5標准,集成I2C/I3C 總線集線器(Hub)和高精度溫度傳感器(TS),應用於計算機領域的UDIMM、SODIMM 內存模組和伺服器領域的RDIMM、LRDIMM 內存模組。 2021年,公司配套於DDR5內存條的SPD EEPROM產品通過下游主要內存模組廠商的測試認證,順利實現量產。隨DDR5滲透率提升,DDR5內存模組配套SPD的營收有望大幅提升。 此外,據財聯社不完全梳理,除了聚辰股份和瀾起科技,大為股份、朗科科技、景旺電子和信音電子等上市公司亦在互動平台回復有DDR5內存相關布局,具體情況如下圖: 來源:快科技