Home Tags 三星

Tag: 三星

全球十大晶圓代工廠出爐:第一市占超五成 毫無爭議

3月14日,TrendForce集邦咨詢發布一份最新數據,其中顯示,2021年第四季前十大晶圓代工廠產值合計達295.5億美元,季增8.3%,已連續十季創新高,不過成長幅度較第三季略收斂。 2021年第四季全球前十大晶圓代工廠 TrendForce集邦咨詢指出,前十大晶圓代工廠中前五名占了全球近九成市占率。 其中,台積電(TSMC)第四季營收達157.5億美元,季增5.8%,手握有全球超過五成的市占率;三星(Samsung)作為少數7nm以下先進位程競爭者之一,本季營收提升至55.4億美元,季增15.3%;聯電(UMC)本季受限於新產能增幅有限,以及新一波合約價格晶圓尚未產出,營收幅度略放緩,達21.2億美元,季增5.8%;格芯(GlobalFoundries)第四季營收達18.5億美元,季增8.6%;中芯國際(SMIC)本季營收達15.8億美元,季增11.6%。 前十大晶圓代工廠第六名至第九名依序為華虹集團(HuaHong Group)、力積電(PSMC)、世界先進(VIS)、高塔半導體(Tower)。而第十名是晶合集成,其超越東部高科,營收來到3.5億美元,季增幅高達44.2%,是前十中增長最快者。 來源:快科技
小米首次進軍顯示器!極致性價比 註定爆款

消息稱京東方將量產新型OLED面板與三星搶訂單:亮度擴大2倍 壽命延長4倍

3月14日,據財聯社消息,繼傳言指出三星display開始投入雙堆棧串聯(2-stacktandem)結構OLED面板的研發之後,京東方也被傳出計劃投入此技術研發。 據分析,京東方的目標是積累供應蘋果IT產品OLED面板的所需技術,希望能夠得到蘋果首款OLED螢幕的iPad訂單。業內傳出,京東方下半年將量產雙堆棧串聯結構OLED面板。 之前有消息稱,三星研發雙堆棧串聯結構OLED面板目的也是為了滿足蘋果未來iPad和Mac電腦的面板需求。此外,據說LG也會完成一些蘋果的訂單,因為LG在雙堆棧串聯結構OLED面板的製造技術上已經比較成熟。 和傳統的單堆棧結構OLED面板相比,雙棧串聯結構OLED面板可以將螢幕亮度擴大2倍,壽命則能延長4倍。 與兩家韓國巨頭相比,京東方還有一些技術上的差距,不過這個差距正在慢慢變小。如果京東方能夠獲得蘋果新產品面板的大量訂單,設備總體成本便會大大降低。 京東方此時投入這種技術,很可能也是為了能夠在未來進一步打入蘋果的供應鏈。 來源:快科技

三星官宣Awesome Galaxy A發布會:3月17日舉行,將推出兩款5G機型

三星在今年2月份舉行發布會,推出了Galaxy S22系列旗艦手機。時隔一個多月,三星就即將展開今年的第二次大型活動了,三星現在已經官宣,並發出了名為「Awesome Galaxy A」的邀請函,並將於美國東部時間3月17日上午10點舉行。 從這次發布會的命名內容「Awesome Galaxy A」以及圖片信息來看,可以猜測三星將會推出7個與「A」相關的產品或技術,這其中也包含了Galaxy A系列中端手機產品。至於具體會發布希麼型號的產品,根據媒體的報導,三星將推出Galaxy A73和Galaxy A53兩款機型。 回顧三星在上一年的動態,在2021年的同一時期三星發布了Galaxy A72和Galaxy A52兩款機型,這次的新品應該就是對這兩款機型進行疊代更新了。媒體還爆料了三星Galaxy A73和Galaxy A53的一些配置信息,其表示這兩款機型都有可能支持5G,其中的Galaxy A73預計將會搭載高通驍龍750G處理器以及一塊6.7英寸螢幕。而另一款Galaxy A53將會搭載三星自家的獵戶座處理器——Exynos 1200以及一塊6.5英寸螢幕。 可以看到,三月份將會迎來一個新機潮,許多廠商都將在本月推出新產品。 ...

三星Galaxy Watch 5新功能曝光:新增精準的體溫檢測功能,或於8月份發布

三星曾在2021年8月份推出了Galaxy Watch 4系列智能手錶,該系列提供了多樣的功能,比如搭載了BioActive身體活力傳感器,只需一個晶片組便可測量多項人體關鍵健康指標,支持血氧濃度檢測、血壓監測、心電圖、多種運動模式以及睡眠模式等等。如無意外,新一代Galaxy Watch 5系列手錶將於今年發布,根據媒體的最新爆料,該系列新增了體溫檢測功能。 新增的體溫檢測能幫助用戶檢測出感冒、發燒等早期跡象,甚至能跟蹤排卵周期。雖然市面上已經有不少支持體溫檢測的智能手錶了,但三星認為他們的這些功能存在著偏差,因為容易受到陽光直射、運動等外部環境的影響,容易讓內置於手錶的傳感器容易得到錯誤的信息,這也是為什麼蘋果、三星等大廠遲遲沒有推出支持體溫檢測手錶的原因。 報導稱三星已經解決了這一體溫檢測難題,實際上,體溫檢測已經成為三星今年穿戴設備的主題之一,三星目前也正計劃在新一代Galaxy Buds耳機上引入體溫檢測功能,而耳機的原型已經設計完成了。 根據市場調研機構 IDC發布的數據,蘋果在可穿戴設備市場占據了全球接近1/3的市場份額。而落後於蘋果的三星正在計劃推出帶有創新健康功能的穿戴產品,以此來縮小與蘋果的差距。 ...

當初懟劉海屏最狠的三星,為什麼又用了回來?

我覺得 14/16 英寸 MBP 的劉海一點爭議也不應該有,自從有了劉海,朋友圈里面炫耀自己蘋果電腦的都開始刻意把劉海拍上。 這句話是上一期硬情報文章中點贊最高的讀者留言,即便網際網路上充斥著不同形式、不同內容的批判,劉海屏仍然贏得了一部分人的擁護。 這不,時隔多年後,Android 陣營又迎來了一款劉海屏產品三星 Galaxy Tab S8 Ultra,甚至還因為太受歡迎,三星不得不在美國暫時停售這一產品。 這些都證明了一件事情,劉海屏固然是個妥協的設計,不夠好看,但只要用得好,其實能帶來不錯的實用價值。 除了更大的螢幕,劉海也在引導你學習手勢操作 在眾多劉海設計嘲諷者中,三星無疑是最出色的一位,用一條廣告,三張臉,繪聲繪色地調侃了 iPhone X,直懟劉海是個不好看的設計。 ▲ 三星拍廣告懟 iPhone 可是沒過幾年,這位出色的嘲諷者轉頭就喊出了——真香,大膽地在 Galaxy Tab S8 Ultra 上採用劉海屏設計。 根據 sammobile 報導,Galaxy Tab S8 Ultra...

頂級PCIe 3.0 SSD 三星970 EVO PLUS史低價秒殺:500GB 449元

頂級PCIe 3.0 SSD!三星970 EVO PLUS史低價秒殺:500GB 449元 作為PCIe 3.0標杆性的旗艦級產品,三星970 EVO Plus上市2年來一直維持在很高的價位。 日前,三星970 EVO Plus正在京東進行秒殺活動,250GB秒殺價319元,500GB秒殺價449元,這應該是這款產品上市以來的最低價。活動時間為持續到今晚23點59分,目前還剩下5小時。 三星970 EVO Plus採用的是自家PHONENIX主控晶片,快閃記憶體也是自家原廠96層3D V NAND,250GB和500GB版本都集成了512MB LPDDR4 DRAM緩存。 這款SSD持續寫入速度高達3500MB/,持續寫入速度3300MB/。另外這款SSD的緩外速度也非常驚人,在沒有過熱限速的情況下,500TB版本可以達到900MB/的緩外寫入速度,算是這個500GB容量級別里面最快的TLC SSD了。1TB型號則能達到則是1.6~1.7GB/。 三星970 EVO PLUS 500GB 購買連結: 三星970 EVO PLUS...

三星3nm工廠即將動工:全球首發GAA工藝 功耗直降50%

三星的晶圓代工部門最近負面不斷,此前有消息稱部分員工涉嫌偽造和虛報5nm、4nm、3nm工藝製程的良品率,以致於高通這樣的VIP客戶都要出走,重新使用台積電生產驍龍8處理器。 不過從技術上來說,三星現在依然是唯一能緊追台積電的晶圓代工廠,雖然在7nm、5nm及4nm節點上落後了一些,但在接下來的3nm節點三星更激進,要全球首發GAA電晶體工藝,放棄FinFET電晶體技術,而台積電的3nm工藝依然會基於FinFET工藝。 三星之前表示,GAA是一種新型的環繞柵極電晶體,通過使用納米片設備製造出了MBCFET(Multi-Bridge-Channel FET,多橋-通道場效應管),該技術可以顯著增強電晶體性能,主要取代FinFET電晶體技術。 根據三星的說法,與7nm製造工藝相比,3nm GAA技術的邏輯面積效率提高了45%以上,功耗降低了50%,性能提高了約35%,紙面參數上來說卻是要優於台積電3nm FinFET工藝。 當然,這些還是紙面上的,三星的3nm工藝挑戰也不少,光是量產就是個問題,之前三星宣傳2021年就量產,實際上並沒有,最快也是今年,而且首發的是3GAE低功耗工藝,高性能的3GAP工藝至少要2023年了。 據韓國媒體報導,三星已經准備在韓國平澤市的P3工廠開工建設3nm晶圓廠了,6、7月份動工,並及時導入設備。 按照這個進度,今年的3GAE工藝應該也只會是小規模試產,大規模量產也要到明年了,跟台積電的3nm工藝差不多,兩家都因為種種問題延期量產3nm工藝了。 來源:快科技

三星、SK海力士、美光因DRAM定價被起訴,法官裁定證據不足

去年5月3日,美國律師事務所Hagens Berman向美國加州北部聯邦地區法院提起消費者集體訴訟,指控三星、SK海力士和美光因共同操縱DRAM市場,影響DRAM的定價行情,以此獲得可觀的利潤。Hagens Berman表示這些DRAM製造商自2016年以來,通過減產控制DRAM產量的行為非常相似。 這周美國聯邦第九巡迴上訴法院裁定,針對DRAM晶片製造三巨頭的指控缺乏足夠可信的證據,因此駁回了起訴。原告提出了多項「證據」,以證明被告的商業行為是事先約定的。不過法院考慮了各項因素,認為更多的是作為一個整體考慮,認為這些指控並不構成原告的主張,需要通過「進一步強化事實」來予以支持。 法院在法庭案件分析里提到,被告的行為「更有可能通過行業中的合法、未經設計的自由市場行為來解釋」,而不是通過非法協議。簡單來說,就是三星、SK海力士和美光相似的行為,原因在於理性地分析市場後做出了較為一致的決定。這意味著三星、SK海力士和美光躲過了這一次反壟斷案,若再次提起,則需要更多額外、過往不曾看見的證據。 三星和SK海力士過去曾因涉嫌操縱價格,被美國司法部罰以巨款。三星在2005年,因涉及限定DRAM價格和妨礙公平競爭的行為被罰3億美元。SK海力士也因類似的行為在同一年被指控,最後認罪並支付了1.85億美元的罰款。 ...

三星Galaxy Z Fold4折疊屏渲染圖曝光:或搭載新一代屏下攝像頭技術

這兩年不斷有廠商不斷地入局折疊屏領域,小米、OPPO、榮耀等都相繼推出了自家的折疊屏產品,vivo的首款折疊屏也在昨天曝光了。要說是誰帶起的頭,這里頭肯定有三星,沒有意外的話,三星今年還會推出Galaxy Z Fold4折疊屏手機。根據媒體報導,爆料者@WaqarKhanHD已經率先曝光了三星這款折疊屏的渲染圖。 從渲染圖可以看到,Galaxy Z Fold4折疊屏手機延續了該系列的經典設計,有著外屏採用居中挖礦設計方案,有著修長機身。內屏表面則沒有任何打孔痕跡,屏占比很高,完全展開後完完全全就是一款真全面屏,爆料者稱該內屏會採用新一代屏下攝像頭技術。 至於螢幕參數配置,根據這位爆料者表示三星Galaxy Z Fold4採用了一塊6.19英寸的外屏和7.56英寸的內屏,支持120Hz刷新率,這個螢幕尺寸是相當大了。處理器則搭載了驍龍8 Gen 1。影像方面則是後置三攝,預裝的是Android 12系統。 值得一提的是,我們還可以從渲染圖上看到S Pen手寫筆,從上代Galaxy Z Fold來看,S pen應該是少不了的了。發布時間的話,正常來說會在今年下半年發布。 ...

黑客組織竊取的數據約190GB,三星目前正在評估相關情況

上周末黑客組織宣稱,已從三星的伺服器中盜取了數據。隨後黑客組織公開了部分原始碼的信息,包括有: 安裝在三星TrustZone中用於敏感操作(如硬體密碼、二進位加密、訪問控制)的每一個可信小程序(TA)的原始碼。 所有生物識別解鎖操作的算法。 所有最近的三星設備的引導程序原始碼。 高通公司機密原始碼。 三星激活伺服器的原始碼。 用於授權和驗證三星帳戶的技術,包括API和服務在內的全部原始碼。 據Wccftech報導,目前泄露的數據包含在三個壓縮文件內,容量共計190GB左右。黑客組織表示將部署額外的伺服器,以提高下載速度,並給出了簡要的說明。三星官方表示,目前正在評估相關情況,但沒有確認黑客組織是否有贖金方面的要求。 黑客組織沒有提及針對的是三星哪些伺服器,但以現有泄露的數據來看,可能會對三星及其相關的合作夥伴(比如高通)造成嚴重的安全事故。無論三星還是高通,都擁有龐大的用戶群,可能會面臨較大的安全隱患。 該黑客組織之前曾襲擊英偉達內部伺服器,導致超過1TB的數據泄露,後來還公開了一些涉密資料,包括部分LHR算法、英偉達未發布的GPU、NVIDIA DLSS原始碼、英偉達員工的登錄憑證和兩份代碼簽名證書等。以此要挾英偉達自行移除LHR算法的相關限制,並將Windows、macOS和Linux的驅動程序開源。 ...
32GB記憶體跌至600多 廠商放言 明年重新漲價

DDR3居然要漲價?TrendForce:供給嚴重不足

隨著Intel酷睿12代處理器的推出,DDR5記憶體逐漸走向台前,除了價格有些貴之外,不出意外必將替代DDR4記憶體,不過近日TrendForce卻報導,由於供給不足,DDR3記憶體要漲價了。 據報導,由於晶片供應開始增加,市場采購預期心理提前拉貨,今年的DDR3供應將出現吃緊狀況,預計從第二季度開始DDR3價格可能上漲5%左右。 據TrendForce報導,三星、SK海力士兩大廠商已經開始逐步減產DDR3,後續將逐步結束1GB、2GB、4GB等DDR3記憶體的生產周期。 不過另一快閃記憶體大廠美光並沒有類似的計劃,至少在2026年之前,其DDR3記憶體將繼續生產,並且將主要生產力轉移到美國工廠。 至於台灣的南亞科、華邦等雖然都有擴增計劃,但想在市場上有所表現,最早也要到2023年,也就是說今年的DDR3整體供應量很難發生太大變化。 來源:快科技

Android 手機螢幕四邊等寬可以有,但沒必要

5 年前,蘋果的「全面屏」手機 iPhone X 正式問世。 ▲ iPhone X 與小米 MIX2. 它既為此前延續四代 iPhone 的外觀劃上了句號,也開啟了 iPhone 新一輪不變的設計風格。 不論是圓滑過渡,還是直角邊。不論是 OLED 材質,還是 LCD 材質。以 iPhone X 為起點的話,後續的 iPhone 幾乎都遵循了一個堅持,即是「四邊等寬」。 ▲ iPhone 12...

傳三星遭受黑客的網絡攻擊:是襲擊英偉達的相同組織,第一批數據已泄露

此前英偉達遭到了黑客組織的網絡攻擊,導致超過1TB的數據泄露,其中有驅動程序、設計圖紙和固件等資料,目前已公開的部分資料包括有LHR算法、英偉達未發布的GPU、NVIDIA DLSS原始碼、英偉達員工的登錄憑證和兩份代碼簽名證書等。由於與英偉達交涉不暢,黑客組織現在正試圖將竊取的信息出售給第三方。 據VideoCardz報導,之前襲擊英偉達的黑客組織宣稱,已從三星的伺服器中盜取了數據,並公開了部分原始碼的信息,包括有: 安裝在三星TrustZone中用於敏感操作(如硬體密碼、二進位加密、訪問控制)的每一個可信小程序(TA)的原始碼。 所有生物識別解鎖操作的算法。 所有最近的三星設備的引導程序原始碼。 高通公司機密原始碼。 三星激活伺服器的原始碼。 用於授權和驗證三星帳戶的技術,包括API和服務在內的全部原始碼。 黑客組織沒有提及針對的是三星哪些伺服器,如果情況屬實,那麼三星將會遭受巨大的數據泄露,可能會對自身及其相關的合作夥伴(比如高通)造成嚴重的安全事故,那情況會非常糟糕。黑客組織目前沒有提及贖金方面的問題,甚至沒有與三星進行任何溝通,不過三星似乎沒有什麼選擇的餘地。 ...

三星將開發雙疊層結構OLED面板,以競爭蘋果iPad系列訂單

此前有報導指出,蘋果正在為未來的iPad系列產品測試OLED面板,希望可以在iPad系列上採用雙疊層結構的OLED面板。這有別於現階段大多數智慧型手機採用的單疊層結構,同時亮度翻倍,使用壽命也將達到原來的四倍,意味著蘋果提出了更高的要求。 據The Elec報導,三星已經開始開發具有雙疊層結構的OLED面板,其中會有兩個發射層(EML)。三星將新款OLED面板的材料集命名為T1材料集,計劃於2023年將該材料用於商業生產,而之後的T2材料集的生產時間為2024年。如果iPad系列使用三星的雙疊層結構OLED面板,可能會採用T2材料集製造。除了iPad系列以外,其他的平板電腦、筆記本電腦和車載信息娛樂系統都可能用到。 三星可能會在旗下Galaxy Tab和Galaxy Book系列上首先應用雙疊層結構的OLED面板,從而保持對蘋果產品硬體上的領先位置。事實上,三星過去曾與蘋果合作開發iPad系列使用的10英寸級別OLED面板,不過該項目在去年第三季度被取消了,據傳原因是三星提出的是單疊層結構的方案。 LG提供的樣品在蘋果的評估中得到了最高分,其在汽車螢幕上早已使用了雙疊層結構的OLED面板。京東方(BOE)正在啟動新的生產計劃,旗下三間工廠將轉變為蘋果OLED面板的生產工廠,提高供應量,並爭取iPad系列OLED面板的訂單。 ...

三星宣布LPDDR5X可應用於驍龍平台,速率為7.5 Gbps

三星在去年推出了業界首款基於14nm工藝、16Gbit的LPDDR5X,涵蓋5G、AI、AR在內的各種依賴高速數據服務應用。今天三星宣布,已驗證了這款LPDDR5X可用於高通驍龍移動平台(Snapdragon mobile platforms)。 三星表示,自從去年發布14nm的LPDDR5X以後,一直與高通展開密切合作,為其用於驍龍移動平台做優化。這款LPDDR5X速率為7.5 Gbps,是一般LPDDR5(6.4 Gbps)的1.2倍,可以提升超高解析度視頻錄制的性能,以及語音識別、圖像識別和自然語言處理等AI性能。通過採用先進的電路設計,以及動態電壓和頻率縮放(DVFS)技術,LPDDR5X的功耗降低了約20%。 三星相關負責人表示,其LPDDR5X解決方案在高通驍龍移動平台的成功驗證,再次證明了三星在DRAM技術方面的領先地位。三星希望這種高性能、低功耗記憶體的應用范圍,能夠從智慧型手機擴展到數據中心、PC和汽車領域,從而使更多設備和系統能夠以更高的效率運行。 根據JEDEC固態存儲協會去年公布的LPDDR5X的標準,其傳輸速率可提高到8533MT/s、提供更高的帶寬和簡化的架構、通過TX/RX均衡改善信號完整性、以及通過新的自適應刷新管理提高可靠性。此次三星的LPDDR5X速率為7.5 Gbps,未來應該會有更高速率的產品出現。 ...

三星LPDDR5X記憶體來了:比LPDDR5快約1.2倍

今天三星半導體官方微博宣布,三星首款基於14nm的LPDDR5X記憶體已在高通驍龍移動平台上驗證使用。 三星表示,三星與高通公司密切合作,7.5Gbps的LPDDR5X用於驍龍移動平台。 LPDDR5X的速度比目前高端智慧型手機上的LPDDR5 (6.4Gbps)快約1.2倍,有望在下一代智慧型手機上提升超高解析度視頻錄制性能和語音識別、圖像識別、自然語言處理等人工智慧功能。 此外,三星LPDDR5X記憶體採用先進的電路設計和動態電壓頻率縮放,功耗可降低約20%。​ ​三星半導體執行副總裁兼記憶體全球銷售和營銷負責人Jinman Han表示:LPDDR5X解決方案在高通技術公司驍龍移動平台上的成功驗證,證明了我們在DRAM技術方面的先進地位。 我們預計這種高性能、低功耗記憶體的應用將從智慧型手機擴展到數據中心、個人電腦和汽車,使越來越多的設備和系統得以更高的效率運行。​ ​高通技術公司產品管理副總裁Ziad Asghar表示:高通在啟用和採用最新的LPDDR DRAM規格方面是行業專家。 在驍龍平台上使用LPDDR5X,用戶在使用移動端遊戲,相機,應用程式的過程中,能夠通過各種高通技術結合的最新AI引擎,感受新的特色和改善的性能,進一步增強移動體驗。 ​為了更好地滿足對高端DRAM解決方案日益增長的需求,三星將繼續追求低功耗DRAM產品的開發,提供更高的性能和更大的容量,以支持不斷更新的下一代系統。 來源:快科技

三星確認:Galaxy Note系列「退役」,將以Galaxy S Ultra名義出現

從2021年開始,三星就沒有更新Galaxy Note系列的產品了,3月1日,根據媒體的最新報導,三星電子移動部門負責人TM Roh已經在MWC 2022大會的間隙對記者表示:三星Galaxy Note系列在未來將會以Galaxy S Ultra的形式出現。這也意味著Galaxy Note系列這個名號已經正式「退役」了。 其實早在2021年的1月份,知名數碼博主@i冰宇宙就已經爆料稱三星Note系列已經確認完結,而2021年的年底並沒有Note系列的亮相也證實了@i冰宇宙在年初的爆料是正確的。 初代Galaxy Note 作為2011年發布的旗艦系列機型,初代Galaxy Note在當時可以說是大屏手機的代表,配備了一塊5.3英寸的螢幕以及獨特的手寫筆,令許多消費者都感到新奇,憑藉手寫筆設計,該機也帶來了與其他安卓手機不同的玩法。從那時起,三星開始主打雙旗艦戰略,也就是上半年發布Galaxy S系列,下半年發布Galaxy Note系列。 最終三星在2021年停止了對Galaxy Note系列的更新,時間剛好是10年。而2021年的Galaxy S21 Ultra首次採用了Galaxy Note系列標志性的S Pen手寫筆設計,Galaxy S Ultra自那時起已經開始與Galaxy Note系列在設計上有所重疊,這在當時算是一種暗示,如今終於算是官宣了。 ...

三星發布Galaxy Book2 Pro筆記本:做到極致輕薄,僅0.87Kg,售價1199歐元起

在2月27日晚舉行的MWC 2022大會上,有不少筆記本新品亮相,前面我們剛剛報導了華為的筆記本新品:《華為發布MateBook E 和 MateBook X Pro 2022筆記本:售價649歐元起步》,根據媒體報導,作為全球科技巨頭,三星也參與了此次大會,並發布了Galaxy Book2 Pro筆記本新品。 據了解,三星Galaxy Book2 Pro提供13.3英寸和15.6英寸兩種版本,其中前者重量控制在了0.87Kg,三圍尺寸為304.4x199.8x11.2mm,做到了極致輕薄,應該蠻適合出差辦公或旅行使用。而15.6英寸版本重量有1.11Kg(核顯)或1.17Kg(獨顯)兩種機型,三圍尺寸分別為335.4x225.8x11.7mm和335.4x225.8x13.2mm,顏色方面提供銀色和石墨色兩種配色。 核心配置上,三星Galaxy Book2 Pro搭載英特爾12代酷睿i7或i5處理器,顯卡為Intel Iris X核顯,而1.17Kg的15.6英寸版Galaxy Book2 Pro所搭載的是Intel Arc獨顯,最高配備1TB存儲空間。螢幕方面,該筆記本採用一塊1080P解析度AMOLED屏,HDR狀態下最高可開啟500nit亮度。接口方面,13.3英寸版本配備了1個雷電4接口、1個USB-C、1個USB-A、1個3.5mm音頻接口以及1個microSD 卡槽,而15.6英寸版新則新增了1個HDMI接口。 價格的話,根據媒體的描述,13.3英寸版的三星Galaxy Book2 Pro起售價為1199歐元(約合人民幣8460元),而15.6英寸版的起售價則是1599歐元(約合人民幣11282元)。 ...

三星首發Intel Arc銳炫顯卡筆記本:續航長達21小時

按照Intel的說法,Arc銳炫顯卡已經贏得50多款筆記本、台式機產品,其中筆記本第一季度內問世,台式機第二季度見。 今天,三星宣布了新款筆記本Galaxy Book2 Pro、Galaxy Book2 Pro 360,其中前者配備Intel Arc銳炫顯卡,也是第一款官宣的。 Galaxy Book2 Pro是一款典型輕薄本,尺寸可選13.3英寸、15.6英寸(AMOLED),都基於Intel 12代酷睿i7/i5 U系列平台,並通過Intel Evo嚴苛認證,其中前者只有Iris Xe核芯顯卡,後者還有Arc獨立顯卡。 不過很可惜,三星沒有披露Arc顯卡的具體規格信息。 由於加入獨立顯卡,它的散熱做了相應增強,厚度從11.7毫米增至13.2毫米,重量從1.11千克增至1.17千克。 另外值得一提的是,它的標稱續航長達21個小時。 Galaxy Book2 Pro 360則是一款二合一輕薄本,同樣是Intel 12代酷睿、Evo認證,只有Iris Xe核芯顯卡。 來源:快科技
功耗降低30% 台積電3nm快馬加鞭 2021年正式量產

高通、NVIDIA都要跑 三星3nm/4nm工藝良率疑似造假:已啟動內部調查

在全球晶圓代工行業,台積電是老大,工藝也是最先進的,三星則是第二,雖然規模比不上台積電,但是先進工藝也沒落後多少,也量產了5nm、4nm,甚至在3nm節點首發GAA電晶體工藝。 再加上代工價格比台積電低,三星近年來也拉攏了不少代工客戶,包括高通及NVIDIA、IBM等,然而最近的消息顯示,不僅NVIDIA會改用台積電5nm量產下一代GPU晶片,高通也把3nm工藝訂單轉給了台積電,放棄了三星。 大客戶紛紛跑路,三星到底出了什麼問題?韓國媒體nfostock Daily報導稱,三星已經啟動了內部調查研究5nm、4nm及3nm工藝的良率問題,內部已經注意到了晶片的產量跟訂單采購的晶圓數量不匹配。 簡單來說,三星高層這是要調查代工業務部門內部是否修飾了三星工藝的良率,是否存在造假問題。 調查的第二個重點就是三星巨資投入了工藝研發及製造,但是現在的情況有些不對,投資是否被挪用了也是調查的重點。 不過三星表示這些都是內部調查,不會對外界分享。 來源:快科技

三星5/4/3nm工藝拉胯:竟有人偽造良品率

相比於台積電,三星的製造工藝一直差幾個檔次,其代工的NVIDIA RTX 30系列顯卡、高通驍龍8系列處理器,甚至是自家的Exynos 2200手機晶片,無論性能還是能效頗受詬病。 據DigiTimes報導,三星電子現在陷入了一樁丑聞,部分在職員工、前員工涉嫌偽造和虛報5nm、4nm、3nm工藝製程的良品率。 據悉,三星在批准5nm、4nm工藝的量產計劃後,無論是三星自己,還是第三方晶片代工客戶,都發現良品率明顯低於預期。 為此,三星已經開始對負責代工業務的三星設備解決方案(Samsung Device Solutions)事業部進行初步調查,包括相關投資是否使用到位。 不過三星強調,該問題影響並不嚴重,具體結果將在調查完成後公布。 就在日前,還有消息稱,台積電3nm工藝的良品率也存在問題,不得不多次修改路線圖。 據稱,蘋果、高通、Intel、AMD等都是台積電3nm工藝的客戶,其中高通將拋棄三星代工,全面轉向台積電,Intel則是首次引入台積電代工服務。 來源:快科技

傳三星生產的驍龍8 Gen1晶片良品率只有35%,迫使高通支付額外費用轉向台積電

昨天有報導指,三星電子(Samsung Electronics)管理層決定針對非記憶體類先進工藝晶片(5nm/4nm/3nm)良品率過低的問題展開調查,內容包括過往上交的製程良品率報告是否存在錯誤信息,以及用於提升先進工藝良品率的資金是否得到有效利用。 從驍龍888的5nm到驍龍8移動平台的4nm,高通可謂是深受其害。過去一段時間里,經常傳出高通希望將高端驍龍晶片的訂單重新分配給台積電的新聞,良品率問題是主要原因之一。據The Elec報導,目前Snapdragon 8 Gen1晶片的良品率只有35%,而Exynos 2200良品率甚至更低,非常糟糕。據稱驍龍晶片有更高的良品率,還是因為高通有高管和技術人員常駐三星晶圓代工廠,不斷進行修正生產帶來的。相比之下,台積電(TSMC)在N4工藝上的良品率超過了70%,即便不考慮性能和能效問題,也足夠讓高通心動。 由於三星在先進工藝上長期存在的良品率問題,加上隨之帶來不斷延後的交付時間,導致供貨不足,迫使高通寧願向台積電額外支付費用加插訂單,也不想繼續等待三星發貨。此前有報導稱,高通希望今年可以提前推出Plus版本,以取代現有的晶片,之所以這麼做,就是為了盡快推出台積電代工的4nm晶片,以擺脫供應和性能問題。 據了解,高通已經決定把開發當中的下一代AP交由台積電代工,採用3nm工藝製造,不過目前採用三星7nm工藝生產的射頻晶片會增加訂單。高通去年已經向三星傳達了相關決定,表示即使想委託三星代工生產更多晶片,也會因良品率問題無法達到供應方面的要求。 ...

驍龍8 Gen2要上台積電3nm了 消息稱高通將放棄三星代工

過去幾年中高通的驍龍處理器都使用了三星代工,包括最新的驍龍8 Gen1,使用的是4nm工藝,現在的表現大家都懂得。好消息是高通驍龍下一代就要換了,全部放棄三星代工,轉向台積電3nm工藝。 來自數碼科技大V@的消息稱,高通公司已經決定將其所有3nm新一代應用處理器代工委託給台積電,而不是三星電子,將於明年商用。 這個3nm工藝的下一代驍龍不出意外就是之前傳聞的驍龍8 Gen2了,此前消息稱是三星3nm工藝代工,不過現在來看三星在代工驍龍上要出局了。 至於出局的原因沒有提及,但是三星的3nm工藝最近壞消息也不少,畢竟首發GAA電晶體工藝帶來的難度很高,高通現在急需的是性能、能效穩定的3nm工藝,而且產能也要大,在這方面台積電顯然更加穩妥一些。 此外,現在的4nm驍龍8 Gen1 Plus版也有傳聞稱會該用台積電的4nm工藝,而且在加班加點生產,預計CPU頻率、晶片能效等可能會有小幅提升,整體與驍龍8不會有太大差異。 來源:快科技

三星將針對低良品率問題展開調查,涉及相關報告和晶圓代工資金利用情況

從7nm製程節點開始,三星在工藝研發上就一直不順利。在過去的兩年里,無論5nm還是4nm工藝生產的晶片,無論效能還是良品率都存在較大問題。雖然三星已投入了大量資金,但良品率始終沒有明顯的改善,嚴重影響了其晶圓代工業務的訂單交付,貨物交收時間不斷延後。 據Infostock Daily報導,鑒於半導體事業部門長久以來存在的問題,三星電子(Samsung Electronics)管理層已經坐不住了,決定針對非記憶體類先進工藝晶片良品率過低的問題展開調查,將目光鎖定在相關部門的現任和前任高管身上,內容包括之前上交的製程良品率報告是否存在錯誤信息,以及用於提升先進工藝良品率的資金是否得到有效利用。 此前三星表示,將在3nm製程節點引入了全新的GAAFET全環繞柵極電晶體工藝,並計劃在2022年上半年量產第一代3nm工藝,2023年量產第二代3nm工藝。不過4nm和5nm工藝上出現的諸多問題,讓外界對三星的計劃普遍持懷疑態度。 有業內人士認為,三星電子極可能藉此契機,成為其整合集體業務的機會,同時評估相關的財務問題,並審查有關先進工藝良品率方面是否有虛假信息。此外,也有分析師指出,三星此舉某程度上說明了半導體工藝在進入5nm及其以下階段後,研發和製造上會變得非常不容易。 三星電子相關負責人表示,這次管理上的審查屬於常規操作,目前正在討論與此有關的日程、內容和關聯事項,暫時不便透露太多。 ...

Note 系列也許會消失,但手寫仍是三星的未來

如無意外的話,三星在 2022 年依然不會發布冠以 Galaxy Note 系列之名的新機。 Galaxy Note 系列誕生於 2011 年,往後的近 10 年時間里,Note 曾樹立了商務手機的標杆,也經歷過電池安全事故的丑聞,最終這個開辟了大屏手機先河的系列在 2020 年的夏天停下了更新的腳步。 ▲ 左 S21 Ultra,右 Note 20 Ultra 圖片來自:Gsmarena 對此,三星並沒有給出太多的解釋,但將 S 系列與 Note 系列歷年的機器放在一起對比,便不難找出其中的原因:Note 系列的螢幕尺寸已接近單手握持的極限,而螢幕尺寸穩步增長的 S 系列已後來居上,折疊屏...
32GB記憶體跌至600多 廠商放言 明年重新漲價

記憶體價格不能再跌下去 三星等記憶體巨頭改變策略:利潤第一

西數、鎧俠兩家快閃記憶體巨頭的工廠污染事件導致快閃記憶體價格應聲上漲,而另一大存儲晶片——記憶體的價格今年也會進入熊市,之前預測價格甚至會暴跌50%,不過三星、美光、SK海力士三大記憶體巨頭將改變戰略,不希望記憶體繼續跌價,要保證利潤。 記憶體及快閃記憶體行業在過去多年中都存在牛市-熊市周期,牛市價格大漲,熊市價格暴跌,記憶體廠商就會降低價格拉動需求,進而進入下一個牛市,2022年記憶體應該進入熊市了,價格應該會繼續跌。 但從美國媒體的報導來看,三星、美光及SK海力士三大巨頭有意打破這樣的周期循環,特別是三星,他們是全球第一大記憶體供應商,份額占全球將近一半,影響力巨大,該公司日前表態他們已經放棄了以往的激進戰略,不再追求市場份額。 三星不希望記憶體價格再跌下去,而是要優先保證利潤,而美光、SK海力士兩家公司也有類似的考慮,更看重利潤,希望減少行業波動,美光CEO此前更是直言記憶體市場已經發生了根本性變化。 三星、美光及SK海力士三家公司占了記憶體市場95%以上的份額,三家要是決定不再打價格戰,那對記憶體市場的影響是巨大的,接下來就要看他們的打算能否征服市場及客戶了。 來源:快科技

三星相機軟體Expert RAW將支持更多機型:三星多款老旗艦在列

三星在去年底在Galaxy Store上為Galaxy S21 Ultra推出了Expert RAW相機應用程式,它可以在Galaxy S21 Ultra內置的Pro模式的基礎上充分利用手機的主攝、超廣角、以及長焦攝像頭,以此來獲得曝光、對焦、ISO、快門速度和白平衡控制,可以讓用戶輕松做到調整高光、陰影、飽和度和色調,用手機拍出更專業水準的照片。此外,它還能在做到16bit的線性RAW文件和無損JPEG格式拍攝。 不過在當時,Expert RAW相機應用程式僅可以在三星的高端旗艦Galaxy S21 Ultra上使用,在今年2月剛發布Galaxy S22 Ultra後,Expert RAW相機應用程式支持的機型也僅限於這兩款超大杯產品。如今,三星終於要放寬限制了,根據媒體爆料,這款相機應用程式將會在2月25日開始支持更多的三星老款機型。 從媒體曝光的名單上可以看到,這些機型包含了三星Galaxy S20系列、Galaxy S21系列、Galaxy S22系列以及Galaxy Note 20系列上使用,而折疊屏手機則會有以及Galaxy Z Fold3 5G,但不會在Galaxy A系列等三星中端手機中支持這個程序。 不過這份名單並不是最終確定的名單,三星將根據近兩年的上市機型做評估,並最終確定最後大名單,這份名單會在2月25日前公布。 ...

三星確認:Galaxy Tab S8系列平板將獲Android 12L更新,UI設計得到優化

近期的三星有很多產品線的更新,除了發布Galaxy S22系列旗艦機型外,還更新了Galaxy Tab S8系列平板,包含了Galaxy Tab S8、Galaxy Tab S8 +以及Galaxy Tab S8 Ultra三種機型,其中首次引入的Ultra型號平板堪稱超高端產品,是可以和蘋果高端iPad競爭的存在。這次的Galaxy Tab S8系列搭載的是基於Android 12的One UI 4.1。而根據媒體的報導,三星官方已經確認了該系列在後續將會獲得Android 12L的更新。 有了Android 12L更新的加持,對於Galaxy Tab S8系列平板來說無疑是如虎添翼,據了解,Android 12L系統是一種專門為折疊屏、平板電腦等大屏設備打造的作業系統,而不再是簡單的手機版Android系統了。 兩者最大的不同在於UI的設計上,Android 12L的UI設計有著適應於大螢幕的風格,在主螢幕、桌面快速設置、鎖屏、通知、概覽等方面都進行了優化,看起來更簡潔美觀。另外,Android 12L上的應用程式還可以通過任務欄拖動在分屏模式下運行。 不過目前Android...

主流手機廠商確認會支持Android 12動態配色,三星、一加、小米在列

大家在手機上做自定義美化,大多都只是簡單換張壁紙,比如大家過年時換張喜慶的圖片做桌面背景,但其實除了主頁,系統UI和app依然還是白色或者黑色的,多少有點不協調,為此Google在Andorid 12上加入了一個「dynamic color」功能,可以自動根據壁紙的主要色彩,來替換整個系統UI配色,這個如此棒的功能,Google確認將會有多個主要OEM廠商將會跟進。 作為Andorid 12上新一代Material You設計語言的重點功能,動態配色在推出後獲得媒體們的廣泛好評,這也是近年來Android的一個有明顯改進的功能升級,不過此前只能在Google自家的Pixel手機上才能體驗到,但有好東西也不藏著掖著,他們最新確認了三星、一加、Oppo、vivo、Realme、小米在內的主流手機廠商,都會在未來的新系統UI上加入動態配色。 從展示的機型來看,三星最新一代的旗艦機Galaxy S22系列肯定是會支持的了,而一加的上代旗艦一加9 Pro,還有小米11、Oppo Find X3 Pro等也是作為示範機型,其實不久前小米和三星就提前表示會支持動態配色,據稱Google其實也把該功能作為Android 12的硬性要求。不過目前這些廠商和Google都沒有透露具體什麼時候會更新支持。 由於近年來手機廠商各家都已經有了自己的系統UI,而且功能又高度完善,其實各家的UI都很難有明顯的優勢了,用戶層面即使是手機玩家,都早已經不在意新手機用的是Andorid 12,還是Android 11底層了,所以Google作為Andorid系統的領導者,也應該要帶頭做一些更好的設計和功能,讓手機在軟體方面重新有點活力了。 ...

三星Exynos 2200性能被誇大?泄露指CPU和GPU提升幅度有限

在近日舉行的「Galaxy Unpacked 2022」上,三星正式發布了Galaxy S22系列,包含了Galaxy S22、Galaxy S22+以及Galaxy S22 Ultra三款機型。三者最大的區別是螢幕大小不同,尺寸分別為6.1英寸、6.6英寸和6.8英寸。 按照原計劃,Galaxy S22系列將分為三星Exynos 2200,以及高通Snapdragon 8 Gen 1兩個平台。傳言歐洲地區只會有搭載Exynos 2200平台的機型;而北美、南美、東亞、東南亞和大洋洲地區則只會有搭載驍龍平台的機型;西亞和非洲則是Exynos 2200和驍龍平台機型共存。 三星在發布會上沒有談及Exynos 2200的情況,至今也沒有提供完整的參數。不過根據三星此前的公告,以及過去很長時間里多方渠道泄露的信息,對Exynos 2200還是有一個基本認知的。近日,有網友透露了Exynos 2200和Exynos 2100的性能對比數據,CPU和GPU分別快了5%和17%,看起來性能提升幅度比較有限,這或許會讓不少人失望。 Exynos 2200的具體性能或許要等對應版本的Galaxy S22系列發售後才能知曉,不過ComputerBase列出了Exynos 2200的完整規格,不確定信息是否准確。其提供的數據顯示,Exynos 2200在CPU部分配備了單個Arm [email protected]...

三星內部因QD-OLED定價問題內訌,或拖慢相關產品上市進度

在今年的CES 2022大展上,主辦方CTA對各種參展的科技產品進行了評選,其中三星首款QD-OLED電視(65英寸的QD-DISPLAY TV)是獲得CES 2022創新產品獎的其中一款產品。這是世界上第一款真正的RGB自發光量子點OLED顯示設備,將RGB OLED的對比度水平與量子點的顏色和亮度相結合,帶來了終極視覺效果。 比較可惜的是,三星並沒有在展會上公開展示這款電視,而是選擇展出Micro LED和Neo QLED電視,甚至CES官方的獲獎產品頁面里也缺失了QD-OLED電視的產品照片。反倒是索尼,在CES 2022上發布了搭載QD-OLED面板的Bravia XR A95K和A80K。當時就有人猜測,三星可能在面板和顯示技術的戰略選擇層面上出現猶豫和搖擺。 據The Elec報導,三星電子(Samsung Electronics)和三星顯示(Samsung Display)似乎因QD-OLED面板的定價問題發生爭執,這可能導致連鎖反應,即三星品牌的QD-OLED電視最終出現在零售市場的時間比預期的要更晚。按照原計劃,三星應該會在今年年中推出首款QD-OLED電視,首批出貨量為50萬台。 傳聞原因是LG的W-OLED面板要比QD-OLED面板便宜,而三星電子並不想支付那麼高的面板價格,希望三星顯示提供與LG面板相同的價格。暫時不清楚QD-OLED面板具體價格是多少,有消息人士指,三星顯示提供的QD-OLED面板價格,比LG的W-OLED面板要高出100美元。此外,三星顯示還計劃向戴爾電腦提供30英寸的QD-OLED面板,用於高端顯示器。 由於良品率的問題,三星在五年前就停止了大型OLED面板的研發工作,將重點轉向Mini LED背光和量子點技術上。不過三星顯示的QD-OLED面板引起了三星集團負責人李在鎔的興趣,在2018年參觀了面板工廠以後,下令重新評估大型OLED面板業務,QD-OLED面板的開發工作才得以延續。 ...

又要漲價?西部數據和鎧俠稱日本材料污染影響3D快閃記憶體生產

近日,西部數據及其合作夥伴鎧俠表示:用於快閃記憶體晶片生產的材料污染,影響了日本兩家工廠的生產。 鎧俠表示,受影響的產品是一種名為3D flash的新型晶片,而傳統2D NAND快閃記憶體的發貨預計不會受到影響。 西部數據和鎧俠表示,正努力使橫海市和北上市的工廠盡快恢復正常運營。但此聲明並沒有給出何時恢復生產的詳細日期。 在全球半導體短缺持續的局面下,晶片生產接連遭受挫折,導致晶片價格不斷走高。 快閃記憶體晶片是很多電子設備的重要組成部分,小到手機大到汽車、超級計算機都要運用到這種晶片,目前市場主力是三星電子和SK海力士。 來源:快科技
PLC SSD要來了!機械硬盤的末日要到了?

最快今年底量產 三星將推出224層快閃記憶體:速度提升30%

在3D快閃記憶體方面,三星之前一直是領先的,不過美光去年率先量產了176層堆棧的快閃記憶體,要想追趕回來,三星最快今年底能量產224層堆棧的快閃記憶體,性能還會提升30%。 三星的3D快閃記憶體V-NAND目前發展到了第七代,最高176層,原本計劃在去年底量產,但因為NAND快閃記憶體價格下滑等因素,三星選擇推遲量產,今年Q1季度才會正式量產,導致技術上稍微落後於美光等公司。 不過三星在下一代快閃記憶體上有望追回來,最快今年底明年初推出第八代V-NAND快閃記憶體,堆棧層數首次超過200層,之前傳聞是228層,現在的說法是224層,相當於在128層基礎上再堆棧96層。 消息稱,三星的224層快閃記憶體性能也很不錯,數據速度提升了30%,同時生產效率也提高了30%。 此外,三星的224層快閃記憶體技術難度也很高,之前三星是唯一一家使用單堆棧技術實現128層快閃記憶體的公司,這次的224層則使用了雙堆棧技術,技術挑戰十分嚴峻。 來源:快科技

三星Galaxy S22系列繼續貫徹環保理念,將在設備上使用廢棄漁網製成的新材料

三星將會在美國東部標準時間2月9日上午10點舉辦「Galaxy Unpacked 2022」,發布Galaxy S22、Galaxy S22 Plus和Galaxy S22 Ultra三款機型。按照原計劃,Galaxy S22系列將分為三星Exynos 2200,以及高通Snapdragon 8 Gen 1兩個平台。雖然距離發布會只剩下一天左右的時間,但三星仍迫不及待地公開了更多的信息。 今天三星宣布,為了將可持續性與創新相結合,已開發出一種使用廢棄漁網製成的新材料,會被整合到各種Galaxy設備中,賦予海洋塑料新的生命。三星認為,新材料的使用標志著Galaxy for the Planet旅程又邁出了一步,通過最大限度地減少環境足跡,以幫助Galaxy社區培養更可持續的生活方式。 三星表示,首批使用新材料的產品將是「Galaxy Unpacked 2022」上展示的Galaxy設備,這幾乎可以確定是即將發布的Galaxy S22系列智慧型手機。據三星介紹,未來計劃在產品和包裝中擴大環保材料的使用,包括可回收的消費後材料(PCM)和再生紙。三星希望以一種對生活產生積極影響的方式來解決海洋塑料污染問題,以實際行動為為子孫後代提供更好的環境,同時在保護地球的旅程中取得更明顯的效果。 由於Galaxy S22系列會搭載三星最新推出的Exynos 2200,使得今年的產品格外受到關注。其採用了三星4nm EUV工藝製造,配備了基於AMD RDNA 2架構的三星Xclipse圖形處理單元,以及基於Arm架構的CPU內核和升級的NPU(神經處理單元)。傳言歐洲地區只會有搭載Exynos 2200平台的機型;而北美、南美、東亞、東南亞和大洋洲地區則只會有搭載驍龍平台的機型;西亞和非洲則是Exynos 2200和驍龍平台機型共存。 ...

2021年AMOLED顯示面板的需求達到1420萬平方米,相比2020年同比增長52%

根據Omdia的一份新報告,高端OLED電視的強勁銷售加上2021年智慧型手機銷售的反彈推動了對AMOLED顯示面板的需求急劇增加。它報告說,2021年AMOLED顯示面板的需求達到1420萬平方米,相比2020年同比增長52%。 新的Omdia報告還預測,AMOLED面板需求將在2022年繼續強勁增長,不過與2021年的增幅相比會有所下降,然後在未來幾年內會穩定下來。Omdia最新的"顯示器長期需求預測跟蹤器"預測,從2021年到2028年,全球AMOLED顯示屏需求按區域劃分將以11.6%的復合年增長率增長。 "AMOLED已成功滲透到智慧型手機市場,我們預計2021年它占據有超過35%的市場份額,"Omdia Displays Research團隊高級首席分析師Ricky Park表示。"大多數智慧型手機品牌都在其高端型號中採用了AMOLED面板,他們最近也開始積極推出可折疊智慧型手機。」 Park還指出,三星、LG和京東方已經開始增加可折疊顯示器的供應能力,對更大、更高解析度螢幕的需求不斷增長,也增加了AMOLED的需求。 此外,Omdia表示,筆記本電腦品牌已開始在其高端機型中採用AMOLED顯示屏,三星顯示屏正在積極增加其供應量和各種規格的產品陣容。研究人員表示,更薄更輕的顯示屏提高了筆記本電腦的產品質量,因此作為市場上的差異化因素具有很強的吸引力。 ...

暴增31% 三星存儲晶片去年營收超600億美元

據三星電子發布的財報顯示,在剛剛過去的2021年,三星電子旗下的存儲晶片業務營收達到了603.7億美元,約合3839.9億元人民幣,同比暴增31%。 值得注意的是,三星電子的主要利潤來源就是存儲晶片業務所在的半導體業務部門。 2021年全年,三星電子的營業利潤為51.63萬億韓元,半導體業務部門的利潤就達到了29.2萬億韓元,占比超過一半。 值得注意的是,三星電子2021年的整年營收為279.6萬億韓元,存儲晶片業務為72.6萬億元,也就是說存儲業務以26%的營收比重,創造了超過一半的利潤。 去年全球市場雖然受疫情等影響造成晶片價格經常波動整體呈下探趨勢,但這並沒有影響市場對存儲晶片的需求,甚至連韓國另一家廠商SK海力士的整體業績也非常好看。 來源:快科技

Intel獨立顯卡曝光:將採用三星GDRR6顯存 速率為16Gbps

前段時間網上曝光了Intel Arc(銳炫)品牌的Alchemist(DG2)移動顯卡的PPT,根據PPT顯示未來Intel在移動平台的獨立顯卡共被劃分為5個SKU、4種顯存配置。 近日該系列顯卡其中之一的顯存晶片布局照片也被曝光,從照片上來看,其型號為DG2-512EU,共有6個三星K4ZAF325BM-HC16記憶體模塊。 從三星的產品目錄來看,這款GDDR6記憶體模塊的容量為2GB,速率為16Gbps,也就是說這款顯卡的顯存容量為12GB,顯存位寬為192,並且有384GB/的帶寬。 根據Intel的規劃來看,首批銳炫品牌獨立顯卡僅面向移動平台,並且將會搭配Alder Lake移動版處理器,在筆記本電腦正式發布之後,才會發布桌面憑條版本。 來源:快科技

劍指RTX 3070 Ti Intel遊戲獨顯透視照曝光:三星GDDR6顯存堆料扎實

按計劃,Intel將在今年一季度發布ARC銳炫遊戲獨顯,第一代代號Alchemist(鍊金術士)。 日前,爆料人Kuna曬出了Intel遊戲獨顯的芯級照片,中間碩大的是Xe-HPG GPU,環繞了6顆顯存晶片。放大後從絲印文字確認,GDDR6顯存來自三星,頻率16GHz(針腳帶寬16Gbps),6顆總計12GB容量,192bit位寬。 從排布來看,應該還會有16GB顯存的可能,無非左右兩側再多加兩顆,組成256bit,總帶寬512GB/。 依照本周曝光的規格表,12GB顯存對應的是384EU的版本,16GB則是512EU的旗艦型號。 部分基準軟體的跑分成績顯示,512EU的Intel旗艦獨顯,將有實力與RTX 3070 Ti的性能叫板,至於最終的遊戲表現,就看Intel的驅動優化和DX12/Vulkan底層打磨水平如何了。 來源:快科技

數位相機市場持續低迷:預計到2025年將損失2.8466億美元額度

對於專職與拍照或者是資深拍攝愛好者來說,為自己配一款高端相機是少不了的,但對於部分用戶來說,相機已然不是剛需了。根據媒體的最新報導,調研機構Technavio發布了最新數位相機市場情況,到了2025年,市場出貨額將會比2020年減少2.8466億美元。 其實原因有很多,其中最主要的是便是智慧型手機影像功能的崛起,雖然很多廠商都為自家旗艦標榜「媲美專業相機」的美名,但實際效果和相機還是有不少差距的。但是這點差距對於普通用戶來說是可以接受的,智慧型手機的成像效果已經可以滿足它們的日常所需,更別說還有許多一鍵修圖軟體。所以,普通用戶寧願買便宜的智慧型手機,也不想花昂貴價錢購買專業相機。 目前數位相機市場依舊被幾大知名影像大廠所主導,其中,佳能、尼康、松下、三星和索尼是榜單的前五名,其他品牌還包括富士、OM(前身為奧林巴斯)、寶麗來和理光等,雖然他們是領頭羊,但他們的高增長卻被零售的高成本所抵消。 所以廠商們也在找尋其他辦法來獲取更多利潤,比如佳能和索尼已經開始進軍汽車領域了。另外,Technavio也指出數位相機市場還是有很多機會的,尤其是在創新和打造新產品上。同時,對於那些剛進入數位相機領域的新興品牌,最好是以主打低端的性價比產品作為主要生產項目。 ...

2021年晶圓代工行業前五名占據近9成市場份額,台積電一家獨占約6成

晶圓代工是一個營收高度集中的行業,排名前十的從業者占據了98.4%的市場份額,如果進一步縮小到前五名,也占據了將近9成的比例。作為一個資本密集、技術密集、以及與客戶高度互動的產業,各方面的要求都非常高,僅僅依靠一兩個項目上做得稍微好一些,也很難在這個市場占穩腳跟。 根據DigiTimes的統計,2021年的晶圓代工行業里,台積電(TSMC)營收為568.2億美元,市場占有率達到了驚人的59.5%,在7nm和5nm製程節點這樣的先進工藝市場上幾乎沒有對手。排名第二的是三星,System LSI部門營收約為180億美元,來自外部的晶圓代工訂單貢獻了約82億美元。台積電和三星之間的差距並不小,營收總量上後者只有前者不到三分之一,若排除自家的訂單,純粹的晶圓代工業務更是只有前者的七分之一。 據推算,台積電的資本支出是其營收的54%,2022年將超過400億美元,通常研發預算占營收的8%左右。如果三星決心要追趕台積電,無論資本支出還是研發費用,都不是三星晶圓代工事業部自己可以承擔的,所以三星大機率不會將其獨立。即便偶爾搶到一兩個大客戶,也很難短時間內撼動台積電。 DigiTimes認為晶圓代工市場未來可能會出現合縱連橫的運作模式,三星或英特爾會找排名第三的聯華電子(UMC)合作,讓後者分擔成熟製程工藝的產能,自己則集中火力攻占先進工藝。目前三星已經是聯華電子前五大客戶,很快還會變成前三大客戶。由於聯華電子已宣布不會進入7nm之後的製程節點,對於三星和未來參與晶圓代工業務的英特爾來說,沒有技術上的威脅,大家可以各取所需。 排名第四到第六的GlobalFoundries(格羅方德)、中芯國際和華虹半導體,這些二線晶圓代工廠基本上不會威脅到前三名的位置,加上其他因素的疊加,更多是鞏固自己的市場。而排名更靠後的廠商,則會尋找適合自己的道路。 ...