Home Tags 光刻機

Tag: 光刻機

單價26億元 ASML下一代EUV光刻機啟動:核心部件到貨

荷蘭ASML公司今天發布了2022年第二季度財報,當季淨銷售額為54.31億歐元,好於市場預期的52.6億歐元,上年同期為40.20億歐元,同比增長35%。 毛利潤為26.65億歐元,上一季度為17.31億歐元,上年同期為20.45億歐元;毛利率為49.1%,上一季度為49.0%。淨利潤為14.11億歐元,上年同期為10.38億歐元,同比增長36%。 Q2新增訂單金額為84.61億歐元,其中包括54億歐元的EUV訂單,較上一季度的新增訂單金額69.77億歐元環比增長21%。 本季度中,ASML公司出貨了12台EUV光刻機,比Q1季度的3台大幅增長,之前因為種種原因限制了出貨。 值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得了重大突破,已經收到了來自供應商的物鏡、光源及工作檯,這也是光刻機的三大核心部件,關繫著光刻機的正常運行。 根據ASML的消息,相比目前NA孔徑只有0.33的光刻機,下一代的EUV光刻機NA孔徑提升到0.55,有望進一步提高晶片工藝,是製造2nm及以下工藝的關鍵設備。 高NA孔徑的EUV光刻機價格也會大幅上漲,相比目前單台10億元的價格,下一代光刻機價格要暴漲到4億美元,人民幣26億元以上,原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 Intel是第一個下單新一代EUV光刻機的,首批4台應該都是他們的,不過三星及台積電也下單了,後面也會角逐新一代工藝。 來源:快科技
松下半導體業務將出售 還是持續虧損所致

追趕台積電 三星斥資近110億 買ASML新一代光刻機

為了追趕台積電,很顯然三星也在努力的采購ASML的最新一代光刻機,而花費也是巨大的。 據韓國媒體報導稱,三星電子和ASML就引進今年生產的EUV光刻機和明年推出高數值孔徑極紫外光High-NA EUV光刻機達成采購協議。 High-NA EUV光刻機精密度更高、設計零件更多,是延續摩爾定律的關鍵,推動2nm以下製程,估計每台要價4億美元。 ASML今年只能生產50台EUV設備,交貨周期為1年6個月,ASML有限的生產能力和較長的交貨時間正加劇各大晶圓代工廠訂購 High-NA EUV光刻機的競爭。 在這之前, Intel已率先與ASML采購5台這款新設備,還宣稱2024年初就能生產2nm、2024 年下半更能生產1.8nm。台積電稱會在2024年擁有ASML次世代最先進的光刻機。 有消息人士透露,三星電子已獲得ASML今年EUV光刻機產能中的18台。這意味三星僅在EUV光刻機上就將投資超過4兆韓元。 來源:快科技

26億一台 ASML全新光刻機准備中:Intel提前鎖定 沖擊2nm工藝

對於晶片廠商而言,光刻機顯得至關重要,而ASML也在積極布局新的技術。據媒體報導稱,截至 2022 年第一季度,ASML已出貨136個EUV系統,約曝光7000萬個晶圓已曝光。 按照官方的說法,新型號的EUV光刻機系統 NXE:3600D將能達到93%的可用性,這將讓其進一步接近DUV光刻機(95%的可用性)。 數據顯示,NXE:3600D系統每小時可生產160個晶圓 (wph),速度為30mJ/cm,這比 NXE:3400C高18%。二正在開發的 NXE:3800E系統最初將以30mJ/cm的速度提供大過195wph的產能,並在吞吐量升級後達到220wph。 據介紹,NXE:3600E 將在像差、重疊和吞吐量方面進行漸進式光學改進,而在0.33 NA的EUV光刻機領域,ASML路線圖包括到2025年左右推出吞吐量約為220wph的NXE:4000F。 對於0.55 NA的光刻機,需要更新的不但是其光刻機系統。同時還需要在光掩模、光刻膠疊層和圖案轉移工藝等方面齊頭並進,才能讓新設備應用成為可能。 根據ASML 在一季度財務會議上披露的數據,公司的目標是在2022年出貨55台EUV系統,並到2025年實現(最多)90台工具的計劃。ASML同時還承認, 90台可能超過2025年的實際需求,不過他們將其描述為為滿足2030年1萬億美元半導體行業需求所做出的巨大努力。 按照之前的說法,ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 來源:快科技

ASML新一代EUV光刻機上路:單價超26億

EDA和光刻機可以說是晶片研發、生產設備皇冠上的明珠。 最新消息稱,荷蘭ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。 原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 在ASML公布的今年一季度財報中,EXE:5200已經訂出去不止一台。按計劃,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 據了解,實際上,ASML的EUV光刻機非常龐大,現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 來源:快科技

光刻機巨頭ASML爆猛料:晶片太缺 都開始拆洗衣機了

晶片不夠,洗衣機來湊。 沒開玩笑,這話可是光刻機巨頭ASML的CEO——Peter Wennick,在最近的財報電話會議中親口說的: 一家大型工業集團的高管告訴我,他們正在大量購買洗衣機,把里面的半導體「抽出來」,放到自家的晶片模塊里。 Peter還補充說:「這種事,到處都在發生。」 消息一出,立刻成為了半導體圈里的「今日頭條」: 全球缺芯,已然是一個不爭的事實。 但竟連巨頭ASML都能曝出這種猛料,現在晶片真的缺到這種地步了嗎? 以至於面對這種奇聞異事走入現實,有網友甚至都在懷疑ASML有炒作的嫌疑…… 也有人從中發現新的機會。 那麼我們不妨從ASML財報入手,來看看這件事的原委。 中國成ASML第一季度最大客戶 2022年第一季度,ASML淨銷售額35億歐元,淨利潤6.95億歐元,毛利率49.0%,相比上一季度均有所下降。 交付至中國的產品占比從22%上升到34%,中國成為本季度第一大客戶。 預訂情況來看,第一季度淨預定量70億歐元,其中25億來自EUV光刻機。 其中包括更先進的下一代EUV,採用0.55NA(數值孔徑)。 NA值越高,所製造晶片上的電路解析度也就越高。 首批高NA光刻機訂單由英特爾搶購成功,台積電甚至都沒拿到。 下一代EUV光刻機最快將在2024年底正式投產。 對於未來,ASML預計第二季度銷售額在51億-53億歐元之間,全年收入預計會增長20%。 在ASML眼中,2022財年的前景似乎相當穩定。 但在投資市場上,受宏觀經濟衰退的預期所影響,半導體行業似乎開始遇冷了。 有人在會議上向Wennink提出這樣的問題: 是投資人太悲觀了,還是你們太樂觀了? Wennink認為從市場需求來看,足夠樂觀。 ASML今年能完成全部訂單的60%就已經很不錯了。 也就是在接下來的回答中,爆料了洗衣機的事情,試圖表達需求沒有減弱的跡象。 那麼,問題來了: 回收洗衣機晶片,真的靠譜嗎? 一台洗衣機,即使是非智能不聯網的那種,用到晶片的地方還挺多的。 首先,要自動執行洗衣程序,各類傳感器得有吧。 壓力傳感器負責給衣服稱重、液面傳感器控制水位。還得有測水溫的、通過光線透射率判斷是否洗干淨了的…… 要是再高端一點,還會用到自動檢測布料材質的。 接下來,所有這些傳感器檢測到的數據,傳輸到處理器里去計算,通常是用單片機完成。 數據處理好之後,再給控制單元下達指令,執行轉速調整,進水放水等操作。 另外,操作面板上也得單獨來一塊負責人機互動的單片機,負責按鈕或觸摸操作,以及顯示屏驅動等功能。 洗衣機還有兩個特點,內部環境潮濕,以及運行起來震動大,這就給晶片提出了額外的抗干擾、出錯後有能力復位等要求。 這些要求拉高了洗衣機所用晶片的質量,不過也給回收利用增加了一些難度。 為了防水,通常會灌注樹脂等材料把洗衣機電路板包裹起來,像這樣: 這要想批量處理掉,拆出里面的半導體元件難度不小,成本恐怕也不低。 就這,也有公司要特意收購洗衣機來拆,足見缺芯情況之嚴重了。 甚至有人順著這個思路開始懷疑,ASML的CEO怕不是講了個段子? 回收洗衣機晶片的成本之高,只有用於生產售價超過100萬美元的產品上才make sense。 但實際上,對洗衣機「下手」可能只是整個行業的一個縮影。 ASML的CEO後面補充道,半導體回收技術15-25年前就有了,現在正在世界各地得到應用。 物聯網是主要應用方向。 物聯網設備數量大,所用的晶片又不那麼精密、復雜,使回收利用成為可能。 比如洗衣機常用的瑞薩電子RX系列單片機,就能同時用於各類家電,甚至工業設備和機器人。 就連ASML自己,也在2020年交付了一種復用舊傳感器、舊零件生產的SMASH掃描設備。 全球缺芯愈演愈烈 雖說此次ASML執行長所爆料的半導體領域「搜刮洗衣機」事件,更像是一件奇聞趣事走入現實。 但其背後所影射出更深入的話題便是——全球晶片愈演愈烈。 正如Peter在此次財報電話會議中所透露出來的信息,即便是像ASML這種段位的選手,自家的產能也只能滿足60%光刻機的訂單需求。 而且他還透露中國一家主要晶片製造商已經售罄了到2023年底的全部產能。 無獨有偶,美國半導體蝕刻機供應商泛林集團CEO,同樣是在最近的財報電話會議中表示: 在需求方面整體環境仍然十分強勁。 而與供應相關的持續延誤,可能會限制今年年內有關晶圓設備的實際投入。 台積電方面,CEO魏哲家也強調供應商所面臨的挑戰,勞動力和晶片方面的限制導致交付時間延長,其產能在2022年仍然緊張。 除了半導體龍頭設備商發出的這些重要信號之外,國外一些研究機構所發布的調研也是與缺芯現狀相契合。 例如Susquehannna金融集團的研究表明,3月份半導體交互等待周期上升,達到26.6周的新高。 而在2019年的時候,當時的正常「晶片交付周期」,還是停留在6至9周;到了2021年7月,晶片訂單的平均交付時間已延長至19周。 再具體到細分領域,汽車行業在「缺芯」浪潮中的體現可以說是最為直觀。 就在最近,三大汽車廠商紛紛下場對此表態: 豐田:本周將今年的產量目標下調了約10萬輛。 特斯拉:公司的生產仍受到晶片短缺和關鍵零部件漲價的影響。 大眾:缺芯的負面影響可能會持續下去 …… 之所以會出現「晶片荒」,一個主要的大原因便是全球疫情的爆發。 生產晶片離不開代工廠,不過晶片的正常運行,同樣需要上游化學產業的支持,例如氫氟酸、光刻膠、矽晶體等,都是不可或缺的關鍵。 疫情的爆發不僅讓晶片代工廠出現停產,上游的產業同樣面臨這個問題。 即使在疫情得到相應控制,代工廠逐步開始復工,但與之「配套」的其它產業似乎並沒有跟上步伐。 其次便是天災,光刻膠便是一個典型的例子。 在去年受日本強地震的影響,市場近八成的光刻膠供應告急,像供貨商「信越」更是一度宣布關閉廠區。 除此之外,整體產業的需求失衡也是疫情帶來的一個「副作用」。 因為居家辦公、居家上學在疫情之下成為了人們生活工作的一種主流方式,隨之而來的便是對電子消費級產品的需求暴增。 這就使得原本根據需求環境劃分的軍工級、車規級、工業級和消費級晶片,其產能出現了「搶奪」的態勢。 …… 然而,即便是主流晶片設備廠商、代工廠齊發聲將「持續缺芯」,但還有一些調研機構卻持反對意見。 例如Gartner的分析師Richard Gordon,針對此次ASML放出的「搜刮洗衣機」一事認為: 引用的例子似乎有些極端,不能代表現狀。 由於電動汽車、工業物聯網、5g等新應用的出現,半導體產業的長期前景看起來是樂觀的。 並且Gordon對近幾年半導體市場分析後表示——「我們已經度過了晶片短缺的高峰期」。 那麼對於缺芯還將持續多久,你持什麼觀點呢? 歡迎在評論區留言討論~ 來源:快科技

Intel擴建廠房安裝ASML下代最先進EUV光刻機:「2nm」工藝提前投產

今年3月份,Intel CEO帕特基辛格(Pat Gelsinger)曾在投資交流活動中透露,Intel 18A工藝將比原定時間提前半年投產,現在Intel正用實際行動踐行著承諾。 本周,Intel在位於美國俄勒岡的D1X工廠舉辦隆重的Mod3擴建儀式,並將此地命名為戈登摩爾公園(Gordon Moore Park)。 Mod3的說法類似於我們遊戲中所謂的Mod,也就是模塊,實際上,這是Intel為D1X工廠打的第三個MOD「修正檔」,也是第二次擴建,投資高達30億美元。 D1X-Mod3的主要工作實際上從去年8月份就開始了,其重大意義在於,為工廠增加了2.5萬平米的潔淨室空間,將D1X擴大了20%,這便為最終足以搬進ASML的下一代最先進高數值孔徑(High NA)EUV光刻機TWINSCAN EXE:5200 EUV創造必要條件。 和服務Intel 3/4工藝的NXE 3000系列EUV光刻機相比,EXE 5200大了很多,突破了D1X原「天花板」。 回到18A工藝製程,提速後,最快可以在2024年三季度登場。 關於18A,簡單解釋下。其實按照Intel之前多年「老實」的命名習慣,其對應5nm+。但由於對手台積電、三星早就破壞了電晶體尺度定義規范,Intel索性也下場「肉搏」了。外界傾向於認為,18A對應18埃米,也就是1.8nm,對標的是台積電2nm。 修訂後的Intel最新工藝路線圖如下: 可以看到,今年開始到2024年,Intel的製程疊代將會非常緊湊,下半年會有第一代大規模使用EUV的Intel 4(原7nm),明年下半年則是最後一代FinFET電晶體的Intel 3(原7nm+)。 2024年會全面進入基於環繞柵極電晶體技術的RibbonFET電晶體時代,同時還有Intel獨創的PowerVia背面電路,首發是Intel 20A(原5nm),名義2nm。 Intel新老工藝命名及指標整理,供參考 來源:快科技

半導體製造設備交付需等待18個月,多重因素疊加影響產能提升

近兩年來,半導體供應鏈上出現了各種短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張,這需要大量采購生產設備。此前已有報導稱,由於市場需求旺盛,作為全球最大的光刻機製造商的阿斯麥(ASML)即便再提速,其光刻機年產量仍落後於半導體製造商提出的采購要求,需要在目前基礎上提高50%才能滿足市場的需求。 事實上,現階段半導體產能擴張已經十分困難,半導體製造設備的生產出現了瓶頸。據TomsHardware報導,目前半導體設備製造商已告知客戶,新訂單的平均等待時間約為18個月。ASML稱,從鏡塊、閥門、泵到微控制器、工程塑料等各種零配件的供應都遇到了困難,將影響設備的交付時間。顯然,半導體製造設備交付延遲,最終會影響半導體供應鏈。Unimicron表示,基板的交貨時間已經從2021年的12個月到18個月,增加到了目前的30個月。 除了半導體製造設備的生產,半導體行業缺乏足夠專業人士應付現階段的產能擴張,這不但影響了供應,還導致原有計劃延誤。據悉,台積電部分晶圓廠的建設,就因缺乏足夠工作人員而面臨延遲。此外,也間接導致了業內挖角機率的增加,促使像英特爾這樣的業內巨頭花更多的錢吸引和留住技術人才,對於中小型企業而言,想尋求發展的阻力就更大了。 雖然半導體製造商都投入巨資,旨在緩解供應鏈問題,並確保未來幾年的可持續增長,但仍會受制於各方面的因素,無法滿足各方面產品的所有要求,最終只能將有限的產能用於利潤率較高的產品中。隨著時間的推移,半導體行業持續的供應問題將逐漸在消費市場上顯現,從定價到供應等各方面,比如新產品發布後要經過很長時間才會降價。 ...

ASML工廠探秘:3億美元一台的EUV光刻機首次亮相

當地時間3月23日, 美國消費者新聞與商業頻道(CNBC)發布了針對全球光刻機龍頭ASML的采訪視頻,不僅展示了ASML的EUV光刻機工廠,還展示了ASML新一代高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。 一、光刻機為何如此重要? 近年來,隨著全球數位化、智能化進程的加速,全球對於半導體的需求呈現快速增長的態勢。 根據半導體研究機構IC Insights 預計,2021年全球半導體產值躍升至6140億美元,同比大漲25%。2022年全球半導體產值有望達6806億美元規模,同比將增長11%,創歷史新高紀錄。 面對旺盛的半導體需求,目前全球的主要的半導體製造商也在紛紛擴大產能,由此也推升了對於半導體製造設備需求增長。 根據SEMI的預測數據顯示,預計2021年原始設備製造商的半導體製造設備全球銷售總額將達到1030億美元的新高,比2020年的710億美元的歷史記錄增長44.7%。預計2022年全球半導體製造設備市場總額將擴大到1140億美元。 半導體製造設備可以分為前道設備和後道設備。其中,前道製造設備主要包括光刻機、塗膠顯影設備、刻蝕機、去膠機、薄膜沉積設備、清洗機、CMP設備、離子注入機、熱處理設備、量測設備;後道製造設備主要包括減薄機、劃片機、裝片機、引線鍵合機、測試機、分選機、探針台等。 有統計數據顯示,光刻工藝是晶圓製造過程中占用時間比最大的步驟,約占晶圓製造總時長的40%-50%。可以說,如果沒有光刻機,晶片便無法製造。 如果以各類晶圓製造設備在產線當中的投資額占比來看,光刻機也是目前晶圓製造產線中成本最高的半導體設備,約占晶圓生產線設備總成本的27%。 目前能夠製造7nm以下先進位程的EUV光刻機,一台售價約2億美元,只有ASML一家能夠供應,且產能有限。 可以製造2nm先進位程的ASML的新一代高數值孔徑 (High-NA) EUV光刻機EXE:5500的售價將更是高達3億美元。 二、光刻機市場的霸主是如何煉成的? 1、誕生 ASML的前身是荷蘭電子巨頭飛利浦的光刻設備研發部門,曾在1973年成功研發出了新型光刻設備(PAS2000的原型),在整體性能研發方面取得一定成功,但由於成本高昂,且存在一系列技術問題,未能最終推出。 同時,由於其他設備商在解決接觸式光刻機的缺陷問題上用不同的技術路逕取得了突破,飛利浦一度計劃要關停光刻設備研發部門。 不過,隨後另一家半導體設備廠商ASMI希望與飛利浦合作開發生產光刻機,於是在1984年,雙方分別出資約210萬美元成立了ASML。 自2013年起擔任ASML的執行長的彼得·溫寧克(Peter Wennink),雖然早在1999年就加入了ASML,但那已是在ASML成立的15年後。 n △ASML最初的辦公地點 「那時ASML經濟困難,沒有資金,我們很窮。因為飛利浦公司太大了,沒有人看重這個小公司,他們試圖做一些瘋狂的事情,所以他們忽略了我們。」溫寧克說到。 △彼得·溫寧克(Peter Wennink) 在當時的光刻機市場,ASML也還只是一個「無名小卒」。 資料顯示,當時市場主要被美國GCA和日本的尼康所占據,二者分別占據了約30%的市場,Ultratech占比約10%,剩下的市場則被Eaton、P&E、佳能、日立等廠商瓜分,不過他們的份額均不到5%。 2、發展 盡管如此,在成立的第一年,ASML成功地推出了首款步進式光刻機PAS2000(基於1973年推出光刻設備的進一步完善,飛利浦最初的210萬美注資中有180萬美元就是用尚未研發完成的PAS2000充當的)。 不過,PAS2000採用的是油壓驅動,技術落後於當時的同行。 △PAS2000 1986年,ASML改進了對准系統,推出了PAS2500/10步進型光刻機,同時與德國鏡頭製造商卡爾蔡司(Carl Zeiss)建立了穩定的合作關系。 1988年,ASML跟隨飛利浦在台灣的合資流片工廠台積電開拓了亞洲業務,彼時,剛剛成立不久的台積電為ASML帶來了急需的17台光刻機訂單,使得ASML的國際化拓展初見成功。與台積電的深度合作,也為此後ASML的高速發展奠定了基礎。 當時,ASML在美國有五個辦事處,共有84名員工,並在荷蘭維爾多芬(Veldhoven)設立了一個新的據點,最終成為該公司的總部。 1990年左右,ASML推出PAS5500系列光刻機,這一設計超前的8英寸光刻機,其採用了模塊化設計的光刻系統,可以在同一平台上生產多代先進IC。 該平台的完全模塊化設計使晶片製造商能夠隨著技術需求的增加升級系統,並具有業界領先的生產效率和精度,成為了ASML當時扭轉局勢的重要產品。 △PAS5500 PAS5500不僅為ASML帶來台積電、三星和現代等關鍵客戶,憑借PAS5500的優勢持續獲得客戶的認可,也為ASML帶來了市占率的持續提升和豐厚的盈利。到1994年時,ASML在全球光刻機市場的市占率已經提升至18%。 1995年,ASML分別在阿姆斯特丹及紐約納斯達克上市。ASML利用上市募集的資金開始進一步加大研發投入並擴大生產規模,擴建了位於荷蘭埃因霍溫的廠房,現已成為ASML的總部。 3、超越 如果說PAS5500的成功,讓ASML成功在光刻機市場有了重要的一席之地,那麼ASML在浸沒式光刻技術上的成功,則一舉擊敗尼康等頭部光刻機廠商,成為全球光刻機市場的龍頭老大。 在2000年之前,光刻設備中一直採用的是乾式光刻技術,雖然鏡頭和光源等一直在改進,但始終難以將光刻光源的193nm(DUV,深紫外光)波長縮短到157nm,從而進一步提升光刻機的解析度。 直到2002年,時任台積電研發副總的林本堅博士提出了一個簡單解決辦法:放棄突破157nm,退回到技術成熟的193nm,把透鏡和矽片之間的介質從空氣換成水,由於水對193nm光的折射率高達1.44,那麼波長可縮短為193/1.44=134nm,從而可以大幅提升光刻解析度。 從以下公式可以看到,光刻解析度(R)主要由三個因數決定,分別是光的波長(λ)、鏡頭半孔徑角的正弦值(sinθ)、折射率(n)以及係數k1有關。 在光源波長及k1不變的情況下,要想提升解析度,則需要提升n或者sinθ值。由於sinθ與鏡頭有關,提升需要很大的成本,目前sinθ已經提升到0.93,已很難再提升,而且其不可能大於1,所以提升n就顯得更為現實。 因此,在原有的193nm光刻機系統當中增加浸沒單元,利用超純水替換透鏡和晶圓表面之間的空氣間隙(水在193nm波長時的折射率n=1.44,空氣為1),使得光源進入後波長縮短,從而提升光刻解析度。 基於與台積電的長期深度合作,以及希望通過彎道超車來對尼康等走乾式光刻技術路線的頭部光機廠商的趕超,ASML當時選擇了與台積電合作,走浸沒式光刻路線,在2003年開發出了首台浸沒式光刻機樣機TWINSCAN AT:1150i,成功將90nm製程提升到65nm。 2006年,ASML首台量產的浸入式設備TWINSCAN XT:1700i發布。2007年,AMSL又推出了首個193nm的浸沒式系統TWINSCAN XT:1900i。 相對於走乾式157nm光刻機路線進行疊代研發的尼康等廠商來說,ASML 193nm浸沒式光刻機由於是基於原有的成熟的平台進行改進,不僅成本更低、優化升級更迅速,而且精度更高,良率也更高,受到了客戶的普遍歡迎。這也使得ASML通過浸沒式光刻機成功實現了技術及市場的雙重領先。 雖然尼康後期也開始轉向浸沒式光刻系統,但是由於時間進度上的大幅落後,也導致了其難以在浸沒式光刻系統上實現對ASML的追趕,此後開始迅速走向沒落。 4、稱霸 使用193nm ArF光源的乾式光刻,其可以生產的半導體工藝節點可達45/40nm,而進一步採用浸沒式光刻、配合比較激進的可製造性設計(DfM)等技術後,可以生產28nm工藝節點的晶片。而要在193nm浸沒式光刻的基礎上,進入到更高端製程,就必須採用多重曝光,但其半導體工藝製程也只能達到7nm左右的極限。 雖然193nm浸沒式光刻技術解決了此前乾式光刻技術面臨的光刻光源的波長難以進一步縮短的問題,但是隨著工藝製程的繼續推進,要想繼續提升光刻解析度,如果不能進一步縮短光源波長,就必須採用多重曝光, 然而使用多重曝光會帶來兩大新問題:一是光刻加掩膜的成本上升,而且影響良率,多一次工藝步驟就是多一次良率的降低;二是工藝的循環周期延長,因為多重曝光不但增加曝光次數,而且增加刻蝕(ETCH)和機械研磨(CMP)工藝次數等。同時,即便採用了多重曝光,對於193nm浸沒式光刻機來說,製造7nm工藝節點的晶片也已經是極限。 所以,如果要推動半導體製程繼續往5nm及以下走,最為直接的方法就是採用新的波長為13.5nm的EUV(極紫外光)作為曝光光源(僅是193nm的1/14),不僅可以使得光刻的解析度大幅提升,同時也不再需要多重曝光,一次就能曝出想要的精細圖形,而且也不需要浸沒系統,沒有超純水和晶圓接觸,在產品生產周期、OPC的復雜程度、工藝控制、良率等方面的優勢明顯。 得益於通過193nm浸沒式光刻機系統在市場大獲成功,成為全球領先光刻機廠商之後,ASML很快又投入了全新的EUV光刻機的研發。 2010年,ASML首次發售概念性的EUV光刻系統NXW:3100,從而開啟EUV光刻系統的新時代。 但是EUV光刻機的研發不僅耗資巨大,即使研發成功,其單價也是高的驚人(單台售價超過1億美元),僅有少數晶圓製造商能夠負擔的起(目前全球也僅有5家廠商在用EUV光刻機),主要給ASML帶來了巨大的壓力。 為了繼續推動EUV光刻系統的研發,2012年ASML提出「客戶聯合投資專案」(Customer Co-Investment Program), 獲得其主要客戶英特爾、台積電、三星這三大全球晶圓製造巨頭的支持,ASML以23%的股權從這三家客戶那里共籌得53億歐元資金,以投入EUV光刻系統的研發和量產。 2013年,ASML發售第二代EUV系統NXE:3300B,但是精度與效率不具備10nm以下製程的生產效益;2015年ASML又推出第三代EUV系統NXE:3350。 2016年,第一批面向製造的EUV系統NXE:3400B開始批量發售,NXE:3400B的光學與機電系統的技術有所突破,極紫外光源的波長縮短至13nm,每小時處理晶圓125片,或每天可1500片;連續4周的平均生產良率可達80%,兼具高生產率與高精度。 2019年推出的NXE:3400C更是將產能提高到每小時處理晶圓175片。目前,ASML在售的EUV光刻機包括NXE:3300B、NXE:3400C兩種機型。 據ASML介紹,對於EUV光刻機的研發,ASML總計花了90億美元的研發投入和17年的研究,才最終獲得了成功。 憑借著英特爾、台積電、三星著三大頭部客戶的強力支持,再加上ASML自身在EUV光刻領域的持續研發投入,以及在EUV光刻設備上游的關鍵器件和技術領域的多筆收購及投資布局,使得ASML多年來一直是全球EUV光刻機市場的唯一供應商。 1997年,英特爾牽頭創辦了EUV LLC聯盟,隨後ASML作為唯一的光刻設備生產商加入聯盟,共享研究成果。 1999年6月,ASML收購MicroUnity Systems Engineering Inc. 業務部JMaskTools,使得公司在先進技術節點方面可以提供最完整的解決方案,改善了公司光刻機的掃描和成像能力,顯著增加了聚集深度,擴大了光刻窗口,提高了晶片產量。 2001年5月完成對Silicon Valley...

光刻機或成為晶片產量提升的主要瓶頸,ASML表示產能需提高50%才能滿足市場

近兩年來,晶片短缺成為了熱門話題。由於半導體供應鏈上的短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張。這也衍生出另外一個問題,即用於提高產能的半導體生產工具產量是否能滿足需求。 阿斯麥(ASML)是全球最大的光刻機製造商,而光刻機是製造晶片的核心設備。ASML在45nm以下工藝製程的光刻機市場占據了85%的份額,在EUV(極紫外光刻技術)光刻機領域則處於絕對壟斷地位,市場占有率100%,這也是推進5nm/7nm工藝節點的關鍵。即便是DUV(深紫外線光科技術)光刻機,也能滿足現階段不斷增長的8英寸晶圓的生產需要。 近日ASML執行長Peter Wennick接受了媒體的采訪,表示已為晶片短缺盡了最大的努力,但ASML光刻機的年產量仍落後於半導體製造商提出的采購要求。Peter Wennick估計,ASML每年的光刻機產量需要在目前基礎上提高50%才能滿足市場的需求,考慮到這種精密設備的復雜性,幾乎不可能做到。 ASML在2021年交付了286台光刻機,與2020年相比增加了50台,也就是說年產量提高了18%,但距離50%的目標還差很遠。事實上,這家總部位於荷蘭的半導體行業巨頭,未來兩年都很可能成為行業發展的瓶頸。 英特爾CEO帕特-基爾辛格(Pat Gelsinger)很清楚ASML要提高光刻機產量的難度,所以直接與Peter Wennick聯系,以求更准確地預留興建或擴建工廠的緩沖時間,以便於采購ASML的光刻機能夠在入駐工廠的時間安排上更加契合,能夠及時投產。為了減少中間過程浪費不必要的時間,其他半導體製造商投資擴建產能的時候,可能也會選擇先搭建好工廠的框架,然後等待生產設備入駐。 Peter Wennick表示,ASML正在與供應商合作,試圖進一步提高產量,然而能做的也就那麼多了。在ASML的700多家供應商中,有200家被認為是關鍵供應商,需要每一家供應商同時增加產量,ASML才有可能提高光刻機的產量。由於光刻機里面使用的某些材料和組件極其復雜,有些部分想提高產量可能需要幾年的時間才能實現。 其中一個例子是Carl Zeiss,這是ASML的其中一個重要的供應商,生產用於光刻機的鏡塊,負責的是ASML的機器中最復雜的工程部件之一。Carl Zeiss必須要增加生產量,ASML才有可能提高光刻機的製造規模。Carl Zeiss要擴大生產規模,首先要投資用於擴建生產設施和潔淨室,然後聘請專業的技術人員。即便做到了這些,復雜鏡塊模組的整個製造過程還需要長達一年左右的時間。 雖然現階段半導體的投資規模很大,行業發展非常紅火,但僅僅依靠資本並不能解決所有的問題。半導體設備製造商需要應對的是世界上最復雜、最全球化的供應鏈之一,而且還很容易受到國際形勢和各種爭端的影響。事實上,ASML及其供應商在不過度擴張的情況下,穩定地增加產量已經不容易了。 ...

首發19億一台的下代EUV光刻機 Intel將派人幫助ASML加速生產

包括AMD及NVIDIA在內的半導體公司都預測今年下半年全球晶片產能緊張的局面就會緩解,然而生產光刻機的ASML公司對此表示質疑,該公司CEO Peter Wennink日前警告稱半導體晶片製造公司的生產計劃野心勃勃,但可能面臨設備短缺。 Peter Wennink在采訪中表示,盡管各大半導體公司投資了數十億甚至數百億美元以提高產能,然而他們並不可能快速提高產能,因為制約產能的還有半導體設備。 在接下來的兩年中,晶片產量的增長將進一步受到設備不足的限制,這都需要時間來解決。 就連ASML公司自己的光刻機生產都受到了限制,不過Intel CEO基辛格此前表示他們已經派人去幫助ASML公司加速生產。 Intel並沒有提及他們派出多少工程師以及是怎樣幫助ASML提高光刻機產量的,但是他們很可能協助ASML加速新一代EUV光刻機的生產。 現在的EUV光刻機還是NA 0.33技術的,Intel的重點押注在了下一代EUV光刻機,也就是NA 0.55的ASML新一代光刻機,據說成本高達3億美元,約合19億元。 實際上4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

半導體製造關鍵原料面臨斷供 光刻機一哥ASML急尋備胎

近期烏克蘭局勢不穩定,這也意外地加劇了全球半導體行業的緊張,因為該地區生產了全球70%的氖氣,這是半導體製造中的關鍵原料,用量不多但非常重要,以致於光刻機巨頭ASML都要尋找備胎,防止斷供。 當地時間周三,ASML公司CEO表示該公司正在為工廠使用的少量氖氣尋找其他來源。 該公司發言人也表示,盡管烏克蘭是世界上最大的氖氣生產國,但阿斯麥使用的氖氣中只有不到20%來自該國。 據了解,氖氣是惰性氣體中的一種,在半導體工藝中惰性氣體是一種重要材料,在DUV深紫外光光刻時代,產生DUV光源就需要多種惰性氣體,然後與鹵素分子混合,再使用電子束能量激發才能產生DUV波長的光,最後再用於晶片製造。 另外,來自EET-China的報導稱,中國特種氣體市場資深分析師任路則表示,雖然氖、氪、氙為半導體行業生產所必需,但是其絕對使用量並不高,作為鋼鐵行業的副產品,全球市場體量不是很大。 「中國是全球鋼鐵大國,對於這些稀有氣體的純化技術已經實現了突破,生產工藝也比較成熟,不再是能夠『卡中國脖子』的技術了。」 來源:快科技

下代EUV光刻機要來了 炬光科技:是ASML核心供應商的重要供應商

1月25日消息,針對投資提問ASML的新一代光刻機EXE:5200是否使用了炬光科技的產品,炬光科技回應稱公司是ASML公司核心供應商A公司的重要供應商。 炬光科技表示,公司為半導體光刻應用領域提供光刻機曝光系統中的核心雷射光學元器件光場勻化器,是荷蘭ASML光學設備核心供應商A公司的重要供應商。相關商用情況請以官方披露為准。 上周的Q4財報會議上,ASML公司確認將推出下一代的高NA EUV光刻機,NA值從0.33提升到0.55,進一步提高光刻解析度,是製造2nm及以下工藝的關鍵設備。 Q4季度中,ASML公司還新增了71億歐元的新訂單,其中就有一套NA 0.55高數值孔徑EUV光刻機的銷售,這是ASML下一代光刻機,訂購這個光刻機的是Intel,據說成本高達3億美元,約合19億元。 4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 來源:快科技

單價超3億美元 Intel拿下首批第二代High-NA EUV光刻機 2nm將搶先量產

1月19日,全球光刻機巨頭ASML公布了最新的財報,其2021年第4季度及2021全年業績均創歷年新高且優於預期。 ASML還宣布其2022年一季度,其第二代高數值孔徑(High-NA)光刻機TWINSCAN EXE:5200獲得了首個訂單,這也意味著這款可以被用於2nm晶片製造光刻機的有望在2024年交付。 2021年營收186億歐元,毛利率高達52.7%根據財報顯示,ASML 2021年第4季營收為49.86億歐元,淨利潤為17.74億歐元,毛利率達54.2%。新增訂單金額為70.50億歐元。 2021年全年營收達186.11億歐元(同比增長35%),淨利潤為58.83億歐元,毛利率為52.7%。2021年全年新增訂單為262.40億歐元,其中一半來自於EUV光刻機。 溫彼得表示,ASML在2021年第四季度收到了一份TWINSCAN EXE:5000的訂單。自2018年以來,ASML已經收到四份TWINSCAN EXE:5000的訂單。 據了解,EXE:5000主要面向的是3nm工藝,而第二代的0.55 NA EUV光刻機TWINSCAN EXE:5200將會被用於2nm工藝的生產。 021年出貨了42台EUV光刻系統2021年ASML來自光刻系統方面的營收為136.53億歐元,總共銷售了287台光刻系統。 具體的銷量方面,EUV光刻系統42台,貢獻了約63億歐元,銷售額占比高達46%;ArFi光刻系統81台,銷售額占比36%;ArF光刻系統131台,銷售額占比10%;i-Line光刻系統33台,銷售額占比1%。 從銷售的光刻系統的最終用途來看,70%被用於邏輯半導體製程,30%被用於存儲晶片的製造。 從光刻系統最終出貨地來看,台灣貢獻的銷售額占比高達44%,韓國占比35%,中國占比16%。 溫彼得表示:「2021年ASML的EUV出貨量增長並不高,這主要是由於我們在第三季度宣布的物流中心和供應鏈問題影響的結果。但這完全由EUV光刻機的安裝及升級等基礎收入補償了,特別是我們能夠向客戶提供的生產力升級。我們的客戶急需額外的容量,需求量很大。這部分的銷售額為15億歐元。在我們稱之為生產力提升包的推動下,安裝了大量選項,為客戶提供了額外的晶圓容量。」 DUV 業務方面,ASML表示,XT:860N 已於 2021 年底交付給其第一個客戶。這種 KrF 系統提供了更好的性能和更低的成本。2022年,隨著 NXT:870 的引入,ASML將把 KrF 添加到 NXT 平台中,使其能夠在生產率和擁有成本方面邁出重要的一步,並在 ArFi 和 ArFDry 中構建這個平台上的現有經驗。 應用業務方面,首款...

單價19億元 Intel四年前就搶購下一代EUV光刻機:新工藝絕不落後

昨天全球最大的光刻機供應商ASML發布了2021年Q4及全年財報,去年營收186.11億歐元,較上一財年增長33%,淨利潤也從35.54億歐元漲至58.83億歐元,全年出貨42套EUV光刻機,比上一年增加11套。 Q4季度中,ASML公司還新增了71億歐元的新訂單,,這是ASML下一代光刻機,訂購這個光刻機的是Intel,據說成本高達3億美元,約合19億元。 要知道,在當前的EUV光刻機銷售中,台積電及三星都是大客戶,訂單量遠高於Intel,但在下一代EUV光刻機中Intel拿到了獨占權,有一定的搶先期,早在2018年就下第一台。 在當前NA 0.33的EUV光刻機中,Intel動作慢了,被台積電、三星搶先,Intel的EUV工藝晶片量產要到2023年的14代酷睿Metor Lake上。 在下一代NA 0.55的EUV光刻工藝中,Intel不允許同樣的錯誤再次發生,這一次要搶先三星台積電下單,也會搶先量產20A及18A工藝,首次進入埃米級工藝節點。 基於此,4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 來源:快科技

單價超19億 Intel全球首個下單訂購ASML最先進EUV光刻機:性能大增

1月19日最新消息,Intel宣布第一個下單訂購了ASML TWINSCAN EXE:5200光刻機。 TWINSCAN EXE:5200是ASML的高NA(數值孔徑)EUV光刻機,其吞吐量超每小時220片晶圓(wph)。 從路線圖來看,EXE:5200預計最快2024年底投入使用,2025年開始大規模應用於先進晶片的生產。 事實上,4年前,ASML的第一代高NA(0.55 NA)光刻機EXE:5000,Intel就是第一個下單的公司。不過當前的7nm、5nm晶片還並非是其生產,而是0.33NA EUV光刻機。 和0.33NA光刻機相比,0.55NA的解析度從13nm升級到8nm,可以更快更好地曝光更復雜的集成電路圖案,突破0.33NA單次構圖32nm到30nm間距的極限。 外界預計,第一代高NA光刻機EXE:5000會率先用於3nm節點,至於EXE:5200,按照Intel的製程路線圖,2025年至少是20A或者18A,也就是5nm和5nm+。 此前,ASML發言人曾對媒體透露,更高的光刻解析度將允許晶片縮小1.7倍、同時密度增加2.9倍。未來比3nm更先進的工藝,將極度依賴高NA EUV光刻機。 最後不得不說,Intel能搶到第一單,除了和ASML一致緊密合作外,當然也是因為「鈔能力」,Gartner分析師Alan Priestley稱,0.55NA下一代EUV光刻機單價將翻番到3億美元(約合19億元人民幣)。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

新一代EUV光刻機要爆發了

隨著先進位程晶片上量(包括邏輯晶片和存儲器),晶片製造端的高技術含量規模也在不斷擴大,其中,最具代表性的就是EUV光刻機,市場對其需求在未來幾年將大幅增加。 ASML預期今年EUV設備出貨量有望達到50台,這已經是一個非常可觀的數字了,即使如此,仍然供不應求。 隨著邏輯晶片及DRAM製程的演進,單片晶圓EUV曝光光罩層數正在快速提升,其中先進邏輯製程晶圓2021年EUV曝光層數平均已超過10層,2023年將超過20層。 據ASML預估,月產能達4.5萬片的7nm~3nm製程12吋晶圓廠,單片晶圓EUV光罩層數介於10~20層,EUV光刻機安裝數量達9~18台;月產能達10萬片DRAM廠,單片晶圓EUV光罩層數介於1~6層,EUV光刻機安裝數量達2~9台。 這些將大量催生對EUV曝光設備的需求量,2025年之前的EUV光刻機需求將逐年創下新紀錄。 需求側不斷提升 目前,對EUV設備需求量最大的晶片廠商包括英特爾、台積電、三星和SK海力士,未來幾年,這四巨頭對EUV的需求將持續增加。 顯然,先進位程晶片龍頭台積電對EUV光刻機的需求量最大,可以與英特爾做一下比較,到2023年,預計台積電共擁有133台EUV光刻機,而英特爾為20台。 目前,台積電占行業EUV設備安裝基礎和晶圓產量的一半,並計劃通過最先進的3nm和2nm晶圓廠擴大產能。 近幾年,台積電一直在提升EUV設備采購數量,今年下半年以來,其5nm產能全開,包括蘋果A15應用處理器及M1X/M2電腦處理器、聯發科及高通新款5G手機晶片、AMD的Zen 4架構電腦及伺服器處理器等將陸續導入量產。 為了維持技術領先,台積電由5nm優化後的4nm將在明年進入量產,全新3nm也將在明年下半年導入量產,EUV需求量可見一斑。 自2018年以來,ASML增加了EUV光刻機的產量,生產了約75台,據說台積電購買了其中的60%。 三星方面,其晶圓代工和先進位程DRAM都需要EUV光刻機,而且數量逐年遞增,僅次於台積電。據統計,三星目前擁有25台EUV設備,數量約為台積電的一半。 為了獲得更多的EUV設備,2020年10月,三星領導人、副董事長李在鎔飛到ASML總部,商討穩定采購EUV設備,據說訂購了大約20台。一台的價格超過200億韓元(1.77 億美元)。 根據三星2019年4月宣布的 Vision 2030,該公司計劃總投資133萬億韓元,希望成為全球頂級晶圓代工企業。該公司每年花費10萬億韓元來開發晶片代工技術並購買必要的設備,特別是EUV光刻機,以追趕手台積電。 再來看一下英特爾,前些年,該公司認為EUV工藝不夠成熟,現在EUV光刻工藝已經量產幾年了,英特爾開始跟進,其新推出的Intel 4製程將全面導入EUV光刻機,之後的Intel 3、Intel 20A工藝會持續導入EUV。 2025年之後,該公司的製程工藝規劃到了Intel 18A,將使用第二代RibbonFET電晶體,EUV光刻機也會有一次重大升級,為此,英特爾表示將部署下一代High-NA EUV,有望率先獲得業界第一台High-NA EUV光刻機。 目前,該公司正與ASML密切合作,確保這一行業突破性技術取得成功,超越當前一代EUV。 NA表示數值孔徑,從目前的最高值為0.33,今後將提升到0.5,據悉,ASML的NXE:5000系列將實現這樣的性能,之前預計是在2023年問世,現在推遲到了2025年,單台售價預計將超過3億美元。 以上談的是邏輯晶片的生產,在存儲器方面,特別是DRAM,三星和 SK 海力士現在都在其DRAM生產中使用EUV設備,美光則表示計劃從2024年開始將EUV應用於其DRAM生產。 供給側跟進 隨著EUV光刻技術變得越來越重要,ASML的優勢也越發明顯。不過,光刻機供貨商除ASML之外,還有日本廠商尼康(Nikon)和佳能(Canon),這兩家在深紫外線(DUV,光源波長比EUV長)的光刻技術上能與ASML競爭,但ASML作為企業龍頭,在DUV光刻領域,也擁有62%的市場份額。 目前,雖然只有ASML一家能生產EUV光刻機,但由於其技術過於復雜,也需要與業內的半導體設備廠商和科研機構合作,才能生產出未來需要的更先進EUV設備。 例如,不久前,東京電子(TEL)宣布,向imec-ASML聯合高 NA EUV 研究實驗室推出其領先的塗布機,該設備將與 ASML 的下一代高NA EUV光刻系統NXE:5000 集成。 與傳統的 EUV 光刻相比,高 NA EUV...

ASML介紹新一代高NA EUV光刻機:晶片縮小1.7倍、密度增加2.9倍

按照業內預判,2025年前後半導體在微縮層面將進入埃米尺度(Å,angstrom,1埃 = 0.1納米),其中2025對應A14(14Å=1.4納米)。 除了新電晶體結構、2D材料,還有很關鍵的一環就是High NA(高數值孔徑)EUV光刻機。根據ASML(阿斯麥)透露的最新信息,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 Gartner分析師Alan Priestley稱,0.55NA下一代EUV光刻機單價將翻番到3億美元。 那麼這麼貴的機器,到底能實現什麼呢? ASML發言人向媒體介紹,更高的光刻解析度將允許晶片縮小1.7倍、同時密度增加2.9倍。未來比3nm更先進的工藝,將極度依賴高NA EUV光刻機。 當然,ASML並不能獨立做出高NA EUV光刻機,還需要德國蔡司以及日本光刻膠塗布等重要廠商的支持。 ASML現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 去年ASML僅僅賣了31台EUV光刻機,今年數量提升到超100台。 來源:快科技

3億美元單價翻倍 ASML下一代EUV光刻機提前量產:Intel搶首發

在上月的ITF大會上,半導體行業大腦imec(比利時微電子研究中心)公布的藍圖顯示,2025年後電晶體進入埃米尺度(Å,angstrom,1埃 = 0.1納米),其中2025對應A14(14Å=1.4納米),2027年為A10(10Å=1nm)、2029年為A7(7Å=0.7納米)。 當時imec就表示,除了新電晶體結構、2D材料,還有很關鍵的一環就是High NA(高數值孔徑)EUV光刻機。其透露,0.55NA的下代EUV光刻機一號試做機(EXE:5000)會在2023年由ASML提供給imec,2026年量產。 不過,本月與媒體交流時,ASML似乎暗示這個進度要提前。第一台高NA EUV光刻機2023年開放早期訪問,2024年到2025年開放給客戶進行研發並從2025年開始量產。 據悉,相較於當前0.33NA的EUV光刻機,0.55NA有了革命性進步,它能允許蝕刻更高解析度的圖案。 分析師Alan Priestley稱,0.55NA光刻機一台的價格會高達3億美元(約合19億),是當前0.33NA的兩倍。 早在今年7月,Intel就表態致力於成為高NA光刻機的首個客戶,Intel營銷副總裁Maurits Tichelman重申了這一說法,並將高NA EUV光刻機視為一次重大技術突破。 來源:快科技
SK海力士全球首發128層消費級TLC SSD 最大1TB、壽命保密

美國反對:SK海力士無錫工廠引進EUV光刻機受阻

近期路透社曾報導,韓國存儲晶片大廠SK海力士在江蘇無錫工廠引進EUV光刻機的計劃可能面臨擱淺,原因是美國方面反對EUV光刻機這類先進設備進入中國。 這一問題之前在SK海力士內部引起了相當程度的關注,以至於CEO李錫熙在7月訪問華盛頓期間向美國官員提出了相關關切,不過短期來看阻力顯然不小。 李錫熙在11月22日的一場活動上表示,(基於EUV的)第四代DRAM晶片從7月開始在韓國生產,要在中國工廠應用相同的技術還有很長的路要走,將在與相關方面合作的同時明智地應對此事。 此前SK海力士宣布,將在未來5年內斥資約43億美元,持續從阿斯麥采購EUV光刻機。 其在韓國本土的工廠已經引進EUV光刻技術,並於去年7月開始成功量產基於EUV的10nm第四代DRAM晶片;計劃引入無錫工廠的EUV光刻機也將用於生產10nm DRAM晶片。 據悉,SK海力士在無錫工廠所生產的DRAM記憶體晶片占其產量的約一半,占全球總產量的15%。 因此,設備升級受阻或將對市場產生重大影響,也將使SK海力士在與三星、美光的競爭中處於劣勢。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

別羨慕EUV了 佳能26年前的二手光刻機被哄搶:漲價17倍

這一年來半導體行業產能緊張,晶片漲價,上游的晶圓廠也不得不提升產能,光刻機是最關鍵的生產設備之一了。很多人只關注到了ASML的EUV光刻機,售價10億都不愁賣,不過市場上還有大量二手設備,這一次也因為缺貨而漲價,佳能26年前的二手光刻機漲價17倍。 據報導,佳能在1995年推出了光刻機FPA3000i4,現在早就談不上先進了,但沒想到二手設備價格大漲,2014年售價不過10萬美元一台,現在要170萬美元,漲價17倍之多。 從官網查詢來看,佳能的FPA3000i4光刻機適用於4到8英寸的晶圓,光刻機解析度不過0.35um而已,也就是350nm,26年前的古董為什麼還這麼值錢? 這還是跟當前的半導體市場畸形有關,市場上缺貨的晶片並不都是先進工藝的,成熟工藝的占了一半多,而且這些晶片往往不需要先進的設備,二手設備更便宜。 還有一點,半導體設備企業的產能現在也很緊張,所以訂購最新的設備往往需要很長的交付期,至少半年以上才能拿到,很多晶圓廠需要盡快提高產能,等不及新設備了。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

美國沒有光刻機背後的原因

光刻可以說是半導體製造中最重要的一步。今天最先進的 EUV 光刻機是極其復雜的機器,其成本與一架新的波音噴氣式客機一樣高。 從 1984 年與飛利浦的合資企業開始,ASML 已經發展成為世界第二大晶片設備製造商,也是 EUV 機器的唯一供應商。 事實上,美國可以說是光刻技術的發明者,在本文中,我們將就他們為何發明了光刻技術,卻最終沒有光刻機就行簡要分析。 需要提一下,本文分析思路是基於對飛兆半導體、David W Mann Co、Cobilt、GCA、尼康和矽谷集團 (SVG) 等先驅的采訪。 早在 1950 年代中期,貝爾實驗室就開始嘗試將圖像列印到矽片上。那十年後期,飛兆半導體改進了工藝以製造電晶體。 「我們決定使用光刻膠來描繪這些區域,」仙童最初的八位聯合創始人之一傑伊·拉斯特和鮑勃·諾伊斯說。 「貝爾實驗室在那里做了一些努力,並認為這是不可能的,所以他們從未追求過這個方向。鮑勃 和我與柯達合作,他們給了我們當時最好的光刻膠,我們逐漸與他們建立了工作關系,光刻膠不也斷改進。」 「雖然在此過程中有很多技術問題和技術挫折,但我們只是說我們要使用它,我們必須讓它發揮作用——我們做到了。」 在 1960 年代,接觸掩模對准器(contact mask aligners )被用於晶圓印刷,Kulicke & Soffa率先將其商業化。後來,Kasper...

獨占全球光刻機90% ASML一路狂奔 依然看不到任何對手

作為全球最大的半導體光刻系統供應商,ASML同時也是全球唯一的極紫外光刻 (EUV) 機供應商。EUV光刻系統也被認為是目前最先進的晶片製造工具之一,可以讓晶片製造商在7nm及以下先進位程晶片製造中占據優勢,並使得摩爾定律能夠得以延續。 ASML的壟斷地位 ASML是全球領先的半導體光刻系統供應商,每家主要半導體製造公司都有使用ASML的光刻機製造晶片。准確地說,ASML在半導體光刻市場擁有超過90%的市場份額,其餘玩家為佳能和尼康。 同時,ASML也是單價過億美元的EUV光刻機市場的壟斷者。 根據財報顯示,ASML 2021年三季度銷售額52.41億歐元,同比增長32.4%;淨利潤為17.40億歐元,同比增長63.8%。三季度新增訂單金額61.79億歐元,而在這61.79億歐元的新增訂單中,EUV光刻機的訂單金額占據了近一半,達到了29億歐元。 ASML也表示,三季度,EUV光刻機系統的出貨量和收入創下歷史新高。目前,ASML主力EUV光刻機是TWINSCAN NXE:3600D,其在客戶那里也達到了每小時加工160片晶圓的創紀錄效率。 另外,在DUV(深紫外)光刻機方面,ASML已經累計完成出貨1000台ArF系統(氟化氬浸沒式光刻系統)。 展望未來,ASML預計2021年有望實現約35%的增長,第四季度淨銷售額在49-52億歐元區間,毛利率為51%-52%;研發成本約為6.7億歐元,銷售和管理成本約為1.95億歐元。 相比之下,ASML在光刻領域的競爭對手,佳能和尼康的光刻機業務規模與ASML相去甚遠。 資料顯示,2020年全球光刻機總銷售量為413台。其中ASML銷售258台占比62%,佳能銷售122台占比30%,尼康銷售33台占比8%。按照銷售額來計算的話ASML的份額高達91%,佳能只有3%、尼康也僅有6%。 先進位程投資快速增長,EUV系統採用率持續上升 當前,隨著PC和智慧型手機產品的持續升級疊代,以及人工智慧、5G通信、自動駕駛、雲服務等需求的快速增長,全球對於先進位程晶片的需求正快速成長。台積電、三星、英特爾等頭部的先進位程晶片製造商之間的競爭也在加劇。 此前全球最大的晶圓代工廠台積電已投資120億美元開始在美國建設新的5nm晶圓廠。今年的資本支出也提高到了280億美元,並宣布在未來三年的資本支出將達到創紀錄的1000億美元。 台積電表示,這種資本支出並非針對當前晶片短缺,而是一項長期投資,旨在利用未來幾年對先進晶片的預期需求增長。 作為全球第二大半導體廠商,三星今年對於存儲與晶圓代工等相關事業的資本支出也將達到近300億美元。另外,三星還宣布將投資170億美元在美國德克薩斯州新建一座新的先進位程晶圓廠。 未來三年,三星計劃對其旗下三星電子公司和其他附屬企業投資高達240兆韓圓(相當於2050億美元),藉以鞏固未來的成長引擎,並擴大後疫情時代的科技領先地位。 處理器大廠英特爾今年開始也大幅加大了對於半導體製造的投資。在今年3月,英特爾新任CEO基辛格上台之後,就推出了IDM 2.0計劃,不僅加速自身更先進的半導體工藝製程的推進,同時還重啟了晶圓代工業務,並宣布投資200億美元在美國新建兩座晶圓廠。 今年9月,英特爾還宣布未來10年將在歐洲投資800億歐元,建至少兩座先進晶圓廠。 隨著台積電、三星、英特爾等頭部晶圓製造廠商對於先進位程工藝的追逐,對於EUV光刻機的需求更是快速上升。 例如,台積電今年已承諾資本支出 280 億美元,其中約 80% 將用於公司最先進的晶片製造工藝——7nm、5nm 和 3nm。而台積電第二代7nm及後續的5nm先進位程都是基於EUV工藝的。 作為全球第一家使用ASML EUV光刻機進行大批量生產的公司,台積電聲稱其擁有全球50%以上的EUV光刻系統安裝量和60%的累計EUV晶圓生產量。 Source: Anandtech 台積電計劃繼續保持在EUV光刻機數量上的領先地位,其已下訂單訂購的「至少」13台EUV光刻機將會在今年交付。隨著其積極的資本支出計劃,台積電似乎將繼續保持EUV產能領先地位,而其他晶片製造商也正努力迎頭趕上。 其中一個奮力追趕的是三星,該公司目前在EUV光刻機安裝量方面落後於台積電。據業內官員稱,三星只擁有台積電一半的數量。 目前三星正使用EUV光刻機來製造一些DRAM和7nm/5nm邏輯晶片。憑借其在代工廠上的 EUV 經驗,三星已於2020 年 8 月率先在 1z 節點上採用 EUV 進行DRAM生產。隨著三星在邏輯製程和DRAM製造中擴大EUV光刻機的使用,EUV光刻機的購買量在未來幾年將會持續增加。 英特爾也正在部署EUV系統用於其7nm節點生產晶片,預計將在未來幾年內進一步增加ASML的EUV光刻機訂單。 與此同時,DRAM巨頭SK Hynix和美光也計劃在量產中使用EUV光刻機。SK...
日本牽頭 2nm hCFET晶體管浮出水面

每小時曝光160片晶圓 ASML新款EUV光刻機創記錄:賣瘋了

今日晚間,ASML發布2021年第三季度財報,EUV光刻機的出貨量和營收都刷新紀錄。 財報顯示,ASML 2021年第三季度淨銷售額為52億歐元,淨利潤為17億歐元,毛利率達到51.7%,新增訂單金額62億歐元。 ASML 預計2021年第四季度營收約為49億~52億歐元,毛利率約51%~52%。 產品和業務摘要 : EUV(極紫外光)光刻業務:本季 EUV系統的出貨量和營收都刷新紀錄。最新款的NXE:3600D EUV 光刻系統在客戶的生產線上創下了每小時曝光160片晶圓的記錄。 DUV (深紫外光) 光刻業務 : 15年前 (2006年),ASML第一台支持晶片量產的浸潤式光刻系統上市。到本季,達成出貨1000台ArF浸潤式光刻系統的里程碑。 ASML總裁兼執行長 Peter Wennink 表示:「我們第三季的營收達到52億歐元,毛利率達到51.7%,皆符合預期。第三季的新增訂單金額達到62億歐元,其中29億歐元來自 EUV 系統訂單。客戶對於光刻系統的需求仍在高點,主因是數位化轉型和晶片短缺帶動市場對於記憶體和邏輯晶片的需求。」 「我們預期2021年第四季的營收約為49億歐元到52億歐元,毛利率約51%~52%,研發成本約6.7億歐元,銷售及管理費用約1.95億歐元,有望實現全年營收增長35%的目標。」Peter Wennink 說。 來源:快科技

光刻機10億一台仍供不應求 阿斯麥賺翻了

本周,光刻機一哥ASML(荷蘭阿斯麥)在在線投資者活動中上調了業績預期。 公司預計2025年的營收將達到240億到300億歐元,2025年的毛利率在54~56%,今後十年(2020~2030)年化增長率11%。 可做參考的是,2020年ASML營收為140億歐元,毛利率48.6%,共賣出256台光刻機系統產品。 ASML方面表示,半導體行業需求的高速增長使得自己的產品頗受市場歡迎。 據悉,ASML 2017年推出EUV(極紫外光刻機),目前是該領域唯一的玩家,當前EUV光刻機均價在1.5億美元一台(約合9.66億元人民幣),這台復雜的設備有著超10萬個零部件和400多米長的線纜。 另外,ASML表示,其下一代EUV光刻機2023年左右推向市場,數值孔徑從當前的0.33NA提升到0.55NA,可以服務2nm甚至更先進的工藝節點,確保摩爾定律再延續至少10年。 來源:快科技

上海微電子推出新一代先進封裝光刻機 首台年內交付

據上海微電子裝備集團官方消息,9月18日,上微舉行新產品發布會,宣布推出SSB520型新一代大視場高解析度先進封裝光刻機。此次推出的新品光刻機主要應用於高密度異構集成領域,具有高解析度、高套刻精度和超大曝光視場等特點。 並且可以幫助晶圓級先進封裝企業實現多晶片高密度互連封裝技術的應用,滿足異構集成超大晶片封裝尺寸的應用需求。 同時將助力封裝測試廠商提升工藝水平、開拓新的工藝,在封裝測試領域共同為中國集成電路產業的發展做出更多的貢獻。 官方表示,目前,上微已與多家客戶達成新一代先進封裝光刻機銷售協議,首台將於年內交付。 從上微電子官網了解到,新一代封裝光刻機品投影物鏡系統全面升級,可滿足0.8μm解析度光刻工藝需求,極限解析度可達0.6μm;通過升級運動、量測和控制系統,套刻精度提升至≤100nm,並能保持長期穩定性。 此外,曝光視場可提供53mm×66mm(4倍IC前道標準視場尺寸)和60mm×60mm兩種配置,可滿足異構集成超大晶片封裝尺寸的應用需求。 公開資料顯示,上海微電子裝備集團成立於2002年,主要致力於大規模工業生產的投影光刻機研發、生產、銷售與服務,公司產品可廣泛應用於IC製造與先進封裝、MEMS、TSV/3D、TFT-OLED等製造領域。 來源:cnBeta

阿斯麥的新一代EUV光刻機:造價1.5億美元 公共汽車大小

9月2日消息,荷蘭阿斯麥的新一代極紫外(EUV)光刻機每台有公共汽車大小,造價1.5億美元。其前所未有的精度可以讓晶片上的元件尺寸在未來幾年繼續縮小。在位於美國康乃狄克州郊區的一間大型潔淨室里,工程師們已經開始為一台機器製造關鍵部件,這台機器有望讓晶片製造行業沿著摩爾定律至少再走上10年時間。 這台極紫外光刻機是由荷蘭阿斯麥公司製造的。阿斯麥於2017年推出世界上第一台量產的極紫外光刻機,在晶片製造領域發揮著至關重要的作用,已經被用於製造iPhone手機晶片以及人工智慧處理器等最先進的晶片。阿斯麥正在康乃狄克州的威爾頓製造下一代極紫外光刻機的部分組件,其使用新技術來最小化紫外線波長,從而盡可能縮小所製造的晶片元件尺寸,最終提高整個晶片的性能。 新一代極紫外光刻機大約有一輛公共汽車那麼大,造價1.5億美元。整個機器包含10萬個部件和2公里長的電纜。每台機器發貨需要40個貨櫃、3架貨機或者20輛卡車。只有諸如台積電、三星和英特爾等少數公司能買得起這種機器。 「這真是一台不可思議的機器,」麻省理工學院研究新型電晶體架構的教授Jesús del Alamo說。「這絕對是一款革命性的產品,是一項突破,將給晶片行業帶來新的生命。」 在康乃狄克州的工廠里,工程師們將一塊巨大鋁材雕刻成框架,最終讓光罩以納米級的精度在其間移動,反射極紫外光束。這些光束利用幾面鏡子來回反射,以驚人精度反復修飾打磨,在矽片上蝕刻出只有幾十個原子大小的特徵圖案。 造好的組件將於2021年底運往荷蘭維荷芬,然後在2022年初安裝到新一代極紫外光刻機的第一台原型機中。英特爾可能會使用這種新機器製造出第一批晶片。英特爾表示,預計將在2023年下線第一批晶片。憑借比以往任何機器所蝕刻的圖案尺寸更小,讓每個晶片都有數百億個元件,這台機器在未來幾年所生產的晶片應該是史上處理速度最快、效率最高的。 總之,阿斯麥新一代極紫外光刻機有望延續晶片製造以及整個科技行業不斷進步的理念,繼續讓摩爾定律保持活力。 1965年,電子工程師、英特爾創始人之一戈登·摩爾(Gordon Moore)在行業雜誌《電子學》35周年特刊上發表了一篇文章。摩爾在文章中指出,單一矽晶片上的元件數量每年大約翻一番,他預計這一趨勢將繼續下去。 十年後,摩爾將他的預計從一年改為兩年。近年來,盡管製造技術的不斷突破和晶片設計的不斷創新保持著這種勢頭,但摩爾定律的發展依舊受到了質疑。 極紫外光刻機使用特殊的工程技術來縮小用於製造晶片的光波長,這應該有助於延續摩爾定律的趨勢。這種光刻技術對於製造更先進的智慧型手機以及雲計算機器,還有人工智慧、生物技術和機器人等新興技術的發展都至關重要。「摩爾定律的消亡被過分誇大了,」Jesús del Alamo說。「我認為這仍將持續相當長一段時間。」 喬治敦大學研究晶片製造的研究分析師威爾·亨特(Will Hunt)表示:「沒有阿斯麥的機器,就不可能製造出先進晶片。」「很多東西都要經過年復一年的調整和試驗,而這些都是非常困難的。」 他說,極紫外光刻機的每個部件都「極其復雜,復雜得令人吃驚」。 製造晶片通常需要一些世界上最先進的工程技術。晶片最初是一個圓柱形的矽晶體,其先是被切成薄片,然後薄片再塗上一層光敏材料,反復暴露在已經設定好圖案的光束下。沒有被光接觸的矽部分被化學反應蝕刻掉,從而繪制出晶片元件的復雜細節。然後每塊晶片被切成許多單獨的晶片。 目前而言,不斷縮小晶片元件尺寸仍然是從一塊矽片中擠出更多計算能力的最可靠方法,因為晶片上封裝的電子元件越多,計算能力就越高。 晶片架構和元件設計方面的許多創新也使摩爾定律得以延續。例如今年5月份,IBM展示了一種新型電晶體,像絲帶一樣夾在矽片內部,可以在不降低光刻解析度的情況下將更多元件封裝到晶片中。 但是,從20世紀60年代開始,有效縮短製造晶片的光束波長有助於推動晶片元件小型化,這對晶片性能提升至關重要。先是使用可見光的機器被使用近紫外線的機器所取代,而近紫外線的機器又讓位於使用深紫外線的系統,以便在矽片上蝕刻出更小的圖案特徵。 20世紀90年代,英特爾、摩托羅拉、AMD等公司開始合作研究極紫外線,並將其作為新一代光刻技術。阿斯麥於1999年加入進來,努力開發第一台極紫外光刻機。與之前的深紫外線光刻技術(193納米)相比,極紫外光刻技術的光束波長更短,只有13.5納米。 但人類解決工程上的挑戰花了幾十年時間。如何產生極紫外光本身就是一個大問題。阿斯麥的方法是將高功率雷射以每秒50000次的速度轟擊錫滴,產生強度足夠高的極紫外光。普通鏡片也會吸收極紫外光,因此極紫外光刻機使用塗有特殊材料的精確鏡面代替。在阿斯麥極紫外光刻機內部,極紫外光在穿過光罩之前會經過幾面鏡子的反射,而光罩則以納米級的精度移動,為的是對齊矽片上的不同層。 「說實話,沒有人真的想用極紫外光,」行業研究公司Real World Technologies晶片分析師大衛·坎特(David Kanter)說。「它比原計劃晚了20年,超出預算10倍。但如果你想製造非常緻密的結構,它是你唯一的工具。」 阿斯麥新一代極紫外光刻機採用更大的數值孔徑來進一步縮小晶片上的元件尺寸。這種方式允許光線以不同角度穿過光罩,從而增加圖案成像的解析度。這就需要更大的鏡子和新的軟硬體來精確控制組件蝕刻。阿斯麥當前一代極紫外光刻機可以製造出解析度為13納米的晶片。新一代極紫外光刻機將使用更高數值孔徑來製作8納米大小的特徵圖案。 目前台積電在晶片製造過程中使用的就是極紫外光刻技術。其客戶包括蘋果、英偉達和英特爾。英特爾在採用極紫外光刻技術方面進展緩慢,結果落後於競爭對手,因此最近決定將部分生產外包給台積電。 阿斯麥似乎並不認為其光刻機會落後。 「我不喜歡談論摩爾定律的終結,我喜歡談論摩爾定律的幻象,」阿斯麥首席技術官馬丁·范登·布林克(Martin van den Brink)表示。 范登布林克指出,摩爾1965年發表的那篇文章實際上更關注創新進程,而不僅僅是晶片元件尺寸的縮小。盡管范登布林克預計至少在未來10年里,高數值孔徑極紫外光刻技術將繼續推動晶片行業的進步,但他認為使用光刻技術縮小晶片元件尺寸會變得沒有那麼重要。 范登布林克說,阿斯麥已經開始研究極紫外光刻的後繼技術,包括電子束和納米壓印光刻,但目前尚未發現任何一種技術足夠可靠,值得投入大量資金。他預測,在考慮熱穩定性和物理干擾的同時,加快光刻機產量將有助於提高晶片產量。即使晶片速度沒有變得更快,這種方法也會讓最先進的晶片更便宜更普及。 范登布林克補充說,包括在晶片上縱向製造元件的製造技術應該會繼續提高晶片性能。英特爾和其他公司已經開始這樣做了。台積電執行董事長劉德音曾表示,未來20年晶片的綜合性能和效率每年能提高三倍。 主要挑戰在於全世界對更快晶片的需求不太可能下降。普渡大學教授馬克·倫德斯特倫(Mark Lundstrom)早在20世紀70年代開始在晶片行業工作,他在2003年為《科學》雜誌撰寫了一篇文章,預言摩爾定律將在10年內達到物理極限。他說:「在我的職業生涯中,我們曾多次想,『好吧,這就結束了。』」「但在未來10年內,沒有任何放緩的危險。我們只是在另闢蹊徑。」 倫德斯特羅姆還記得他第一次參加微晶片會議是在1975年。「有個叫戈登·摩爾的傢伙在做演講,」他回憶道。「他在技術社區中很有名,但其他人都不認識他。」 「我還記得他的演講,」倫德斯特倫補充道。「摩爾說,『我們很快就能在一塊晶片上安裝1萬個電晶體』。他還說,『當一個晶片上有了1萬個電晶體,人們有什麼不能做呢?』」 來源:cnBeta

ASML DUV光刻機有多快?加速度高達7g、12秒完成一整片晶圓

作為晶片生產過程中最關鍵裝備的光刻機,有著極高的技術壁壘,有「半導體工業皇冠上的明珠」之稱,代表著人類文明的智慧結晶。在在晶片這樣一個爭分奪秒的行業里,時間就是金錢。據ASML官方介紹,ASML也一直在追求光刻機極致的速度,目前最先進的DUV光刻機,每小時可以完成300片晶圓的光刻生產。 這是一個什麼概念呢? 我們來換算一下,完成一整片晶圓只需要12秒,這還得扣除掉晶圓交換和定位的時間,實際光刻時間要更短。 而一片晶圓的光刻過程,需要在晶圓上近100個不同的位置成像電路圖案,所以完成1個影像單元(Field)的曝光成像也就約0.1秒。 要實現這個成像速度,晶圓平台在以高達7g的加速度高速移動。7g加速度是什麼概念呢?F1賽車從0到100km/h加速約需要2.5秒,而晶圓平台的7g的加速度,若從0加速到100km/h只要約0.4秒。 DUV是深紫外線(Deep Ultraviolet Lithography),EUV是極深紫外線(Extreme Ultraviolet Lithography)。 從製程工藝來看,DUV只能用於生產7nm及以上製程晶片。而只有EUV能滿足7nm晶圓製造,並且還可以向5nm、3nm繼續延伸。 來源:cnBeta

Intel全力押注EUV工藝 爭取首發下代高NA光刻機

Intel這幾年在工藝進度上落後跟10nm、7nm工藝多次跳票有關,而新工藝延期也跟Intel此前不考慮EUV工藝有關,所以10nm工藝才上了四重曝光,導致良率上不去,遲遲無法量產。 Intel之前認為EUV工藝不夠成熟,現在EUV光刻工藝已經量產幾年了,Intel也開始跟進了,原先的7nm工藝、現在的Intel 4工藝會是全面使用EUV光刻機的開始,首款產品是Meteor Lake流星湖,2023年發布。 之後的Intel 3工藝、Intel 20A工藝上也會持續利用EUV工藝,進一步提升性能及能效。 再往後Intel還會積極跟進EUV技術發展,2025年之後的工藝已經規劃到了Intel 18A,將使用第二代RibbonFET電晶體,EUV光刻機也會有一次重大升級。 Intel表示致力於定義、構建和部署下一代High-NA EUV,有望率先獲得業界第一台High-NA EUV光刻機。 Intel目前正與ASML密切合作,確保這一行業突破性技術取得成功,超越當前一代EUV。 這就意味著Intel很有可能首發下一代EUV光刻機,NA數值孔徑從目前的0.33提升到0.5,這是ASML的NXE:5000系列,之前預計是在2023年問世,現在推遲到了2025-2026年,單台售價預計將超過3億美元,差不多人民幣20億一台。 Intel為了超越台積電、三星重返半導體技術一哥,現在可以說是拼了老命了,對玩家來說這倒是好事,以往的帶頭大哥回來了。 來源:快科技

9.38億元 ASML第一台全新EUV極紫外光刻機交付

作為全球第一光刻機供應商,荷蘭ASML(阿斯麥)今天公布了2021年第二季度財報。 當季,ASML淨銷售額40億歐元,毛利率50.9%,淨收入10億歐元,淨預訂額83億歐元,其中EUV極紫外光刻機就有49億歐元,而總的積壓訂單金額已達175億歐元。 ASML在財報中還披露,第一台全新TWINSCAN NXE:3600D EUV光刻機系統已經交付給客戶,相比之前的NXE:3400C生產力提高了15-20%,覆蓋率(套刻精度)提高了約30%。 不過,ASML未透露接收客戶是哪一家。 ASML還表示,正努力增加EUV光刻機在存儲行業的量產應用,計劃協助三個DRAM記憶體晶片客戶在未來的工藝節點中導入EUV。 ASML EUV光刻機目前還是第一代產品,EUV光源波長13.5nm左右,物鏡NA數值孔徑0.33,並發展了一系列型號。 最早量產出廠的是NXE:3400B,產能有限,晶圓產能只有125PWH,目前的出貨主力是NXE:3400C,產能提升到135WPH,而最新的NXE:3600D產能進一步提升到160WPH,價格據說也達到了1.45億美元(約合人民幣9.38億元)。 第二代EUV光刻機將會是NXE:5000系列,物鏡NA提升到0.55,進一步提高光刻精度,但原計劃2023年問世,現在推遲到2025-2026年,而價格預計將突破3億美元。 第三季度,ASML預計淨銷售額52-54億歐元,毛利率51-53%。 來源:快科技

世界最賺錢的機器 11億一台 中國怎麼造不出來?

想要征服世界,只有用一台革命性的機器,一台讓競爭對手望塵莫及的機器才能做到。這就是製造晶片必不可少的光刻機,單台售價可達11億人民幣的「印鈔怪獸」。 今天就來講一講專門製造光刻機的ASML,這家牢牢卡住全球晶片製造喉嚨的荷蘭公司,看看它作為後起之秀是如何征服世界的。 光刻機是晶片製造的核心設備。 目前,掌握全球最領先光刻技術的只有荷蘭ASML公司,它憑著一己之力吸納了全球市場份額的80%。當今世界上,能做精度在7nm及以下的光刻機,只有這一家,每年的生產數量只有30台。 7nm是什麼概念?這相當於把一根頭發絲劈成幾萬份。這些EUV光刻機並不是你想買就能買到的。三星、英特爾和台積電等晶片領域的巨頭都是ASML的股東,每年都在不遺餘力的「買買買」搶購,其他企業只能排隊等。 想當年,美國研發EUV光刻技術,拼的可是舉國之力。上世紀80年代,ASML脫胎於飛利浦公司下屬的一個研究院,作為母公司的飛利浦,並不看好它的盈利前景。彼時,約有七八家日本和美國企業占據市場。它幾次走在危險邊緣,幾乎被賣掉、或宣告破產。 這家名不見經傳的荷蘭小廠,到底是如何能夠趕超美國、日本,把GCA、尼康等當年的光刻機巨頭拉下神壇的呢? 為什麼別的國家有而我們沒有? 這家目前全球最大的光刻機製造商,來自荷蘭南部一座不足30萬人口的城市——維爾德霍芬。ASML是如何開始製造光刻機這個「吞金獸」的呢?一次,荷蘭飛利浦公司派人前往美國考察,回來時帶來一顆「晶片」。面對這顆來自美國的晶片,飛利浦人的第一反應是遺憾,為什麼別的國家有而我們沒有?在他們看來,這是錯失了與世界先進技術同步發展的「證據」,於是下定決心「要放下一切工作,集中精力投入集成電路的技術研發」。 彼時,並不屬於大眾眼中的「好時機」。不過,一小撮對技術精益求精的飛利浦人並不這麼想。他們認為其他公司的光刻機設備既不夠精準,也過於耗費人力,不足以應對晶片更新。 飛利浦的半導體和材料部與前沿技術研發實驗室決定聯合起來製造一台光刻機。1967年,兩個部門實驗室研發出的原型成品曾在公司內部展會上吸引了董事的關注。但高光時刻沒持續多久,一台帶有自動平衡系統的新型洗衣機把董事的眼光和腳步全都吸走了。 1980年前後,飛利浦遇到經營危機,他們開始著手將包含光刻機業務在內的非核心業務停止或出售給其他公司。問題是,誰來接手這項已經被飛利浦弄得奄奄一息的業務呢? 創業的路總是很殘酷 距離飛利浦所在地90公里的地方,一家叫做ASM的半導體業務公司偶然獲知了這一消息,這家公司的執行長叫德爾·普拉多,他正是很多人眼中的歐洲設備工業之父。 德爾·普拉多曾就讀於哈佛大學商學院,混跡於美國矽谷。當他數年後返回荷蘭時,一手拿著晶圓,一手拿著 500 美元,決心投入半導體行業。 德爾·普拉多很會利用雜誌、展會等當時熱門營銷工具來推動公司的發展,換句話說,這樣的人才在2021年,也妥妥地是個能玩弄流量於股掌之間,熱搜輪番上的奇才。 為了和飛利浦公司合作,他頻出奇招,或是直接致信聯系,或是利用宣傳隔空喊話飛利浦——美國的大型公司都渴望與小型公司合作,為什麼不推動荷蘭成為第二個矽谷呢? 終於,1984年愚人節這天,ASML成立了。創始人德爾·普拉多雖然熟悉半導體行業,但卻對光刻領域一無所知,面對新成立的「爛攤子」——ASML,德爾·普拉多「騙」來了賈特·斯密特。請記住這個名字,這是ASML的第一任執行長,也是ASML的首席架構師,一個真正為ASML賦予靈魂的人。 斯密特雖然是一位擁有博士學位的工程師,但他的雄心壯志更在於管理、戰略、制定路線、贏得勝利。賈特·斯密特從不在乎花多少錢,他只要最高水準。也正是這一特質使ASML後來得以用超前水準的產品征服整個光刻機行業。 創業的路總是很殘酷。ASML初創時期,幾十個員工擠在飛利浦門口的簡易板房里辦公,門口就是一排臭氣熏天的垃圾桶。除了「因為被選入ASML而對前途不抱希望」的47名飛利浦員工和1名ASM員工,17台無法出售的光刻機,0%的市場份額和空空的存款,什麼都沒有,買杯咖啡都會破產。 ASML在缺錢——動不動缺一億美元,缺人——高精尖技術沒人能掌握,缺客戶——沒人願意買產品,缺時機——整個半導體行業都陷入頹勢,缺時間——趕著交不出貨中艱難求生。執行長斯密特只能不斷給員工「畫餅」,雖然我們現在還沒有產品上市,但我們一定會成功的。 斯密特也同樣善於營銷。ASML想打入美國市場,斯密特知道,參與這場遊戲必須按美國人的規則,要用一次壯觀的、有沖擊力的、聲勢浩大的宣傳樹立形象。於是他的廣告標題是「ASML 展示的產能讓 GCA 和尼康都不敢看」。 斯密特的管理智慧是,他希望公司成為一個統一的整體,不是演奏鋼琴或小提琴,而是指揮一場交響樂;不是麥可·喬丹,而是整個芝加哥公牛隊。 ASML創造奇跡的時刻終於來了!20世紀90年代,整個半導體行業遇到了一個共同的難題。——光刻機光源的波長沒法再短於193nm了,這可怎麼辦? 面對難題,老巨頭尼康等廠商依然採用傳統解題方法,強行將光源縮短到157nm波長。另一邊,台積電鬼才林本堅提出了浸潤式光刻。 在鏡頭和光刻膠之間加一層水,經過水的折射,天塹一躍而過,光線波長可以由193nm變為132nm。林本堅拿著這項「沉浸式光刻」方案,跑遍美國、德國、日本等國,游說各家半導體巨頭,但都吃了閉門羹。 當時還是小角色的ASML決定賭一把,押注浸潤式技術更有可能以小博大。於是和林本堅一拍即合,僅用一年時間,就在2004年拼全力趕出了第一台浸潤式光刻機樣機,並先後奪下IBM和台積電等大客戶的訂單。 命運總是嘉獎勇敢者。2007年, ASML拿到了60%的光刻機市場份額,首次超過尼康。2015年,第一台可量產的EUV樣機正式發布。 有一個形象的比喻來表示光刻機光源產生極紫外光EUV的難度——在颶風中心,以每秒5萬次的頻率用桌球球擊中同一隻蒼蠅兩次。製作一顆晶片大概需要3000道工序,要想保證光刻機完美運轉,每一步的成功率都要高於99.99%。 可以說,EUV光刻機幾乎逼近當前物理學、材料學以及精密製造的極限。 想要澆灌出這株盛放在荷蘭的技術之花,既需要德國的光學設備與超精密儀器,也需要美國的計量設備與光源。托舉起如今的阿斯麥的,是整個西方最先進的工業體系。 對於ASML來說,這些都是已經創出一番天地後的故事,而不為人所知的那段在「練習室」里死磕的時光,如何從「練習室走向台前」的坎坷經歷,才真正隱藏著崛起的密碼。 ASML首部傳記《光刻巨人:ASML崛起之路》作者瑞尼·雷吉梅克說,這個世界上可能不會有公司能在未來十年中獲得類似ASML這樣的成功,除非奇跡發生。 在他看來,與其復制一個ASML,不如發揮創造力,將研究資金投入到將擊敗傳統光刻技術的未來技術中。 當年的尼康復制了GCA的步進光刻機,還增強了機器可靠性。但照搬總是落後,尤其是前面有一個表現出色的勝利者。探索新技術可能會更有回報。 歷史上,試圖在後期進入光刻市場的公司都失敗了,他們不缺乏資金和技術,甚至有的公司機器生產率更高,價格更便宜,但還是沒有做到後來居上。通俗來說就是,沒有這個運氣和機遇。 來源:快科技

越來越重要的ASML

一家荷蘭公司出售的大型機器已成為決策者的關鍵槓杆——這也從側面說明任何國家在半導體技術方面建立完全自給自足的供應鏈的希望是多麼不切實際。  該機器由位於維爾德霍芬的ASML Holding製造。 它的系統使用一種不同的光來定義晶片上的超小型電路,將更多的性能封裝到小片晶片中。該工具歷時數十年開發並於 2017 年投入量產,單機成本超過 1.5 億美元,將其運送給客戶需要 40 個貨櫃、20 輛卡車和三架波音 747。 這個復雜的機器被廣泛認為是製造最先進晶片所必需的,這種能力具有地緣政治影響。 喬治城大學安全與新興技術中心的研究分析師威爾亨特表示,如果沒有該系統,製造商就無法生產領先的晶片,「然而它只能由荷蘭公司 ASML 製造」。中國至少要十年才能建造自己的類似設備,威爾亨特補充說。 ASML 的機器已經有效地變成了晶片供應鏈中的一個瓶頸,而晶片充當了計算機和其他數字設備的大腦。該工具在三大洲的開發和生產——使用來自日本、美國和德國的專業知識和零件——這也提醒人們該供應鏈的全球化程度,為任何想要在半導體領域取得領先地位的國家提供現實檢驗本身。 不止中國,美國國會也正在討論支出超過 500 億美元以減少對外國晶片製造商依賴的計劃。聯邦政府的許多部門,尤其是五角大樓,一直擔心美國對台灣領先晶片製造商的依賴。 波士頓咨詢集團和半導體行業協會今年春天的一項研究估計,建立一個自給自足的晶片供應鏈至少需要 1 萬億美元,而且晶片和用它們製造的產品的價格會大幅上漲。 哈佛商學院研究供應鏈的管理學教授施威利說,這個目標對任何人來說都是「完全不現實的」。ASML 的技術「是您進行全球貿易的一個很好的例子。」 這種情況凸顯了 ASML 所扮演的關鍵角色,這家曾經默默無聞的公司現在市值超過 2850 億美元。Evercore ISI 的分析師...

EUV光刻機有得搶了 三大記憶體廠商全都要買

在三星、SK海力士開始啟用EUV光刻機快閃記憶體記憶體晶片之後,美光現在也要加入了,跟ASML談判采購EUV光刻機,2024年生產新的EUV記憶體晶片。 美光科技總裁兼執行長桑傑·梅赫羅特拉 (Sanjay Mehrotra)在日前的財報會上表示,美光一直在關注EUV工藝進展,實際上之前也參與了EUV評估,一旦觀察到EUV平台及生態系統成熟,美光也會在產品路線圖中插入EUV光刻技術。 現在美光已將2021財年的治本指出略微提升到95億美元,已經開始跟ASML公司談判購買EUV光刻機,不過現在還沒有公布細節,EUV現在供應緊張,台積電、三星采購的較多,美光還要等等。 根據美光的計劃,EUV光刻工藝要到2024年才會導入,首發用於1-Gama工藝的記憶體,後面還會進一步擴展到更下一代的1-Delta工藝記憶體晶片中。 美光日前發布了2021財年Q3財報,截至6月3的季度中公司營收74.2億美元,同比增長19%,淨利潤17.4億美元,相比去年同期的8億美元大漲116%。 來源:遊民星空

中科院:計算光刻技術取得重大進展

中國科學院官網刊文稱,上海光機所在計算光刻技術研究方面取得重要進展。 近日,中科院上海光學精密機械研究所信息光學與光電技術實驗室提出一種基於虛擬邊(Virtual Edge)與雙采樣率像素化掩模圖形(Mask pixelation with two-phase sampling)的快速光學鄰近效應修正技術(Optical proximity correction, OPC)。仿真結果表明,該技術具有較高的修正效率。 光刻是極大規模集成電路製造的關鍵技術之一,光刻解析度決定集成電路的特徵尺寸。隨著集成電路圖形的特徵尺寸不斷減小,光刻系統的衍射受限屬性導致明顯的光學鄰近效應,降低了光刻成像質量。 在光刻機軟硬體不變的情況下,採用數學模型和軟體算法對照明模式、掩模圖形與工藝參數等進行優化,可有效提高光刻解析度、增大工藝窗口,此類技術即計算光刻技術(Computational Lithography),被認為是推動集成電路晶片按照摩爾定律繼續發展的新動力。 OPC技術通過調整掩模圖形的透過率分布修正光學鄰近效應,從而提高成像質量。基於模型的OPC技術是實現90nm及以下技術節點集成電路製造的關鍵計算光刻技術之一。 上海光機所科研人員提出的這種基於虛擬邊、雙采樣率像素化掩模圖形的快速光學鄰近效應修正技術,能夠將不同類型的成像失真歸結為兩種類型的成像異常,即內縮異常與外擴異常。 利用不同的成像異常檢測模板,依次在掩模圖形的邊緣和拐角等輪廓偏移判斷位置進行局部成像異常檢測,確定異常類型及異常區域的范圍。 根據異常檢測位置與異常區域范圍,自適應產生虛擬邊。通過移動虛擬邊調整掩模的局部透過率分布,從而修正局部成像異常。藉助修正策略和修正約束,實現高效的局部修正和全局輪廓保真度控制。 另外,雙采樣率像素化掩模充分利用了成像系統的衍射受限屬性,在粗采樣網格上進行成像計算與異常檢測,在精采樣網格上進行掩模修正,兼顧了成像計算效率與掩模修正解析度。 利用多種掩模圖形進行驗證,仿真結果表明該OPC技術的修正效率優於常用的基於啟發式算法的OPC技術。 相關研究成果已經發表在Optics Express上()。 基於虛擬邊的成像異常修正:(a)外擴異常修正,(b)內縮異常修正 來源:快科技

1nm遠上加遠 第二代EUV光刻機跳票三年 貴出天際

ASML是全球唯一一家量產EUV光刻機的,台積電、三星、Intel的7nm、5nm及未來的3nm、2nm都要依賴EUV光刻機,單台售價超過1億美元,成本極高。 ASML的EUV光刻機目前使用的還是第一代,EUV光源波長在13.5nm左右,物鏡的NA數值孔徑是0.33,發展了一系列型號。 其中最早量產出廠的是NXE:3400B,產能有限,一小時生產晶圓是125PWH,目前的出貨主力是NXE:3400C,產能提升到135WPH,今年底還有NXE:3600D系列出貨,產能再進一步提升到160WPH,不過價格也會提升到1.45億美元了。 現在第一代的EUV光刻機NA指標太低,第二代EUV光刻機會是N XE:5000系列,其物鏡的NA將提升到0.55,進一步提高光刻精度,半導體工藝突破1nm工藝就要靠下一代光刻機了。 然而NA 0.55的二代EUV光刻機沒那麼容易,原本預計最快2023年問世,最新傳聞稱NXE:5000系列跳票,而且一下子就跳票三年,要到2025-2026年才有可能問世了。 不僅時間延期,二代EUV光刻機的價格也會大漲,預計輕松達到3億美元,是現有EUV光刻機的2-3倍,這就意味著未來的晶片工藝成本極其昂貴,哪怕真能做到1nm工藝,那高昂的成本也會讓大多數公司退而卻步。 按照這樣的發展下去,估計1nm工藝的大客戶就剩下蘋果自己了。 來源:遊民星空

售價逼近3億美元 ASML第二代EUV光刻機跳票3年

ASML是全球唯一一家量產EUV光刻機的,台積電、三星、Intel的7nm、5nm及未來的3nm、2nm都要依賴EUV光刻機,單台售價超過1億美元,成本極高。 ASML的EUV光刻機目前使用的還是第一代,EUV光源波長在13.5nm左右,物鏡的NA數值孔徑是0.33,發展了一系列型號。 其中最早量產出廠的是NXE:3400B,產能有限,一小時生產晶圓是125PWH,目前的出貨主力是NXE:3400C,產能提升到135WPH,今年底還有NXE:3600D系列出貨,產能再進一步提升到160WPH,不過價格也會提升到1.45億美元了。 現在第一代的EUV光刻機NA指標太低,第二代EUV光刻機會是N XE:5000系列,其物鏡的NA將提升到0.55,進一步提高光刻精度,半導體工藝突破1nm工藝就要靠下一代光刻機了。 然而NA 0.55的二代EUV光刻機沒那麼容易,原本預計最快2023年問世,最新傳聞稱NXE:5000系列跳票,而且一下子就跳票三年,要到2025-2026年才有可能問世了。 不僅時間延期,二代EUV光刻機的價格也會大漲,預計輕松達到3億美元,是現有EUV光刻機的2-3倍,這就意味著未來的晶片工藝成本極其昂貴,哪怕真能做到1nm工藝,那高昂的成本也會讓大多數公司退而卻步。 按照這樣的發展下去,估計1nm工藝的大客戶就剩下蘋果自己了。 來源:快科技

EUV光刻機越來越貴了 單價超過12億元

半導體製造中最關鍵的設備就是光刻機,7nm以下的先進工藝中都離不開EUV光刻機,現在全球都要靠荷蘭ASML(阿斯麥)公司供應,每台機器都價值不菲。 前不久該公司發布了2021年Q1季度財報,當季營收43.64億歐元,同比增79%,上年同期為24.41億歐元;淨利潤為13.31億歐元,同比增240%,上年同期為3.91億歐元。 光刻機銷量方面,淨訂單額高達47.4億歐元,其中EUV光刻機就占到了22.9億歐元。 具體來說,Q1季度中交付並產生收入的EUV光刻機有7台,比去年Q4季度的8台少了1台。 不過價格倒是越來越貴了,EUV光刻機貢獻的收入大約是11.26億歐元,算下來單價是1.6億歐元,約合12.5億元人民幣,比上個季度中的平均單價1.44億歐元提升了11%。 如果大家還關注過一兩年前的報導,那可能還記得當時EUV光刻機的單價約為1.2億歐元,意味著這兩年來光刻機的價格還是在不斷上漲的。 當然,從另一方面來看,EUV光刻機也不是以前的型號了,這幾年ASML一直在改進EUV光刻機,出貨的型號有NEX3400B、NXE3400C等不同,而且每個EUV光刻機還會有配套的服務(安裝也需要ASML工程師),價格也會慢慢漲的。 來源:快科技

阿斯麥一季度交付7台極紫外光刻機 均價1.6億歐元

阿斯麥是目前全球唯一能製造極紫外光刻機的廠商,台積電、三星、英特爾先進晶片製程工藝量產所需的極紫外光刻機,均由阿斯麥提供。阿斯麥發布的財報顯示,他們在今年一季度完成交付、確定收入的極紫外光刻機,共有7台,較去年四季度的8台有減少。 雖然阿斯麥一季度交付的極紫外光刻機,環比有減少,但他們一季度極紫外光刻機的均價,要高於去年四季度。 阿斯麥在財報中披露,一季度他們來自光刻機的收入共31.29億歐元,極紫外光刻機占36%,也就是為11.26億歐元,極紫外光刻機的平均價格為1.6億歐元。 而在去年四季度,阿斯麥在光刻機方面的收入為31.98億歐元,極紫外光刻機所占的比例也是36%,照此計算,平均每台的價格為1.44億歐元。 阿斯麥在財報中還披露,他們在一季度新獲得了47億歐元的訂單,其中23億歐元是極紫外光刻機,按每台1.6億歐元計算,預計就是14台。 來源:cnBeta

全球晶片荒之下 光刻機巨頭ASML季盈利飆升近兩倍半

荷蘭光刻機巨頭ASML周三發布財報稱,第一季度淨盈利13.3億歐元,較上年同期的3.906億歐元飆升近2.5倍,因全球晶片荒之下其設備需求大幅增長。 淨營收增長近80%,從上年同期的24.4億歐元增至43.6億歐元。毛利率達到53.9%。 該公司上調了2021年營收預期,「目前預計全年營收增長將接近30%。」該公司此前預計2021年營收實現兩位數增長。 ASML還表示,預計將提前完成去年1月宣布的60億歐元股票回購計劃,因為目前強勁的現金流將使其在未來幾個季度能夠大量回購股票。 來源:cnBeta
美國議員要求升級對中芯國際制裁 DUV光刻機也不能給

美國議員要求升級對中芯國際制裁 DUV光刻機也不能給

在美國政府松綁對中芯國際成熟製程的采購禁令,部分美國設備供應商成功獲得許可,開始恢復對中芯國際的供應之後,美國當地時間3月19日,共和黨兩名重量級議員要求拜登政府升級對中芯國際制裁,以確保中芯國際無法獲取關鍵的半導體製造設備。 據《美國之音》報導,美國共和黨眾議員麥考爾(Michael McCaul)和魯比歐(Marco Rubio)已於當地時間周五聯和致信美國商務部長雷蒙多(Gina Raimondo)稱,基於中芯國際協助中國追求「取代美國在全球的領先地位「方面發揮的作用,為保護美國的國家安全利益,要求禁止可能支持中芯國際生產半導體的貨物轉讓。 根據報導引述該信函中的內容指出,這兩位共和黨眾議員要求拜登政府勸說荷蘭政府阻止ASML出售深紫外線(DUV)光刻機賣給中芯國際,並且還須與盟國達成協議,統一出口限制和許可權,限制類似深紫外線和極紫外(EUV)光刻等技術設備的出售。 值得注意的是,早在2018年,中芯國際就曾向ASML訂購了一台可以用於7nm以下製程芯片生產的EUV光刻機,但是由於美國方面的阻撓,荷蘭政府一直未向ASML發放出口許可,導致這台EUV光刻機至今仍無法向中芯國際交付。 此外,去年12月18日晚間,美國商務部又以保護美國國家安全和外交利益為由,宣布將中芯國際及其部分子公司及參股公司列入「實體清單」。這也使得美國廠商要想供貨中芯國際,必須要取得美國商務部的許可證。並且,美國商務部有特別指出,對於10nm及以下先進工藝所需的物品都會直接拒絕。 不過在3月1日,業內傳出消息稱,中芯國際已獲得部分美國設備廠商供應14nm及以上成熟製程設備的供應許可,並且中芯國際之前一直有申請但未獲通過的一類關鍵設備(用於14nm),此次也獲得了通過。 隨後在3月3日晚間,中芯國際還通過港交所發布公告,宣布公司已於2021年2月1日,就購買用於生產晶圓的ASML產品與ASML上海公司簽訂了經修訂和重述的采購協議,根據購買的ASML產品定價計算,該協議購買ASML產品的總代價約為12億美元。而根據隨後ASML的公告,此次采購協議主要為DUV相關產品。 需要指出的是,DUV光刻機雖然不如EUV光刻機先進,但是其也能夠被用於7nm製程的半導體芯片的生產。這或許也正是美議員要求阻止ASML出售深DUV光刻機賣給中芯國際的一大因素。 同時,美議員的信函中還要求將已被列入「實體清單「內的中芯國際,同華為一樣,納入去年8月所修訂的「外國製造直接產品規則」,以確保中芯國際無法從世界任何地方獲得關鍵半導體製造設備。 去年8月17日,美國第二次升級了針對華為的禁令,要求: 1)如果美國軟件或技術是外國生產物品的基礎,該物品將被納入或將用於「生產「或「開發」生產、購買的任何「零件「、「組件」或「設備「,或由實體列表上的任何華為實體訂購; 2)如果實體清單中的任何華為實體是該交易的當事方,例如「購買者」,「中間收貨人「,「最終收貨人」或「最終用戶「。 如果美國後續真的對中芯國際的制裁進一步升級,納入該限制規則的范圍,那麼這將意味着中芯國際不僅將無法繼續獲得美國生產的「零件」、「組件「或「設備」,同樣其他國家的半導體設備廠商(比如ASML)可能也將無法向中芯國際供應基於美國軟件或技術來開發或生產的「零件「、「組件」或「設備「,除非獲得美國的許可證。那麼中芯國際無疑將陷入巨大的危機。 雖然ASML是荷蘭公司,但是其光刻機當中的一些關鍵器件也是來自於美國,比如美國Cymer公司(2012年底被ASML收購)正是ASML的光刻機的主要的光源供應商。因此,如果美國將中芯國際與華為一樣都納入去年8月所修訂的「外國製造直接產品規則」,那麼中芯國際采購ASML的光刻機也將遇阻。 不過,從目前拜登政府的態度來看,既然之前美國半導體設備廠商出口中芯國際的成熟製程所需的部分半導體設備能夠成功獲批,那麼應該不會又突然進一步升級對中芯國際的制裁。 來源:快科技
ASML 20年前就開始研製EUV光刻機 日本佳能、尼康嫌太復雜

ASML 20年前就開始研製EUV光刻機 日本佳能、尼康嫌太復雜

ASML已經成為了全球第一大光刻機廠商,而且最頂尖的EUV光刻機更是獨家壟斷。 日前與媒體交流時,ASML技術開發副總裁Tony Yen確認了此前外界的猜測,他表示EUV光刻機目前有三大客戶,分別是台積電、三星和Intel。 至於同樣製造光刻機的日本佳能、尼康為何在EUV上掉隊,Yen指出,我們從2000年左右開發EUV,並決定大批量生產這種光刻機。 日本人沒有這麼做,因為他們覺得系統太復雜。實際上,我們當時也不是100%確信EUV光刻機會成功。 當然,Yen提到,EUV光刻機的成功還有蔡司、Cymer(已被ASML收購)等企業參與,其中蔡司獨家向ASML出售半導體相關的曝光產品。 據官方披露的數據,去年全球光刻機總銷售量為413台,其中ASML銷售258台占比62%,佳能銷售122台占比30%,尼康銷售33台占比8%。從光刻機類型來看,尼康出貨的主要是ArF immersion、ArF dry,佳能主要是KrF、i-line(份額69%)。 作者:萬南來源:快科技