Home Tags 極紫外光刻

Tag: 極紫外光刻

Intel奇跡上演:2年內EUV工藝超越量產多年的台積電、三星

Intel以往是全球最先進晶片工藝的領導者,然而在14nm到10nm節點之間遇到了問題,導致台積電、三星追趕上來了,並且率先量產了EUV工藝,不過Intel也在努力反超,CEO制定的路線圖意味著他們只要2年就能實現EUV工藝趕超台積電、三星的計劃。 Intel目前量產的工藝是Intel 7,從明年的14代酷睿開始進入Intel 4工藝,這是Intel首個EUV工藝,之後的Intel 3工藝則是在Intel 4基礎上改進。 2024年上半年Intel會量產20A工藝,原定2025年量產的18A工藝也提前到了2024年下半年,這兩代工藝會放棄FinFET電晶體工藝,首次進入埃米級工藝,用上Intel的兩大黑科技技術,也就是RibbonFET和PowerVia,前者是GAA電晶體的Intel版,後者是Intel首創並獨有的背面供電技術。 按照Intel的計劃,這個路線圖意味著他們在2023-2024年的1-2年內就會實現三代EUV工藝量產,而且技術水平足以超過台積電重返第一的。 要知道,台積電、三星最早在2018-2019年就開始生產EUV工藝,華為的麒麟990是首個台積電7nm EUV工藝,到2024-2025年的時候,這兩家量產EUV工藝至少5-6年時間,Intel只用1-2年就輕松超越了。 Intel現在的4年量產5代CPU工藝的路線圖如果沒有任何跳票,那真的是Intel的奇跡4年。 來源:快科技
直逼1nm工藝 ASML下一代EUV光刻機延期 至少2025年

日耗電3萬度 EUV光刻機要關機省電?台積電回應了

此前有消息稱由於先進工藝產能過剩,台積電計劃今年底將部分EUV光刻機關機,以便節省電費支出,台積電日前也回應了,表示不評論市場傳聞。 台積電稱,本公司針對機台設備皆有年度規劃,並依循計劃,在不影響正常營運的前提下,進行例行維護與升級。 上周來自產業鏈的消息人士手機晶片達人的消息稱,由於先進位程產能利用率開始下滑,而且評估之後下滑時間會持續一段周期,台積電計劃從年底開始,將部分EUV 設備關機,以節省EUV設備巨大的耗電支出。 據了解台積電目前擁有大約80台EUV光刻機,主要用於7nm、5nm及以下的先進工藝,今年9月份還會量產3nm工藝,都需要EUV光刻機,然而隨著PC、手機、顯卡等產品的需求下滑,先進工藝生產的晶片勢必會受到影響。 相比之前的DUV光刻機,EUV光刻機需要使用高能雷射器,而且光線會多次折射導致損耗極大,早期效率只有0.02%,現在量產的說是可以達到2%效率,但也意味著絕大多數電力都要消耗掉。 EUV光刻機生產一天需要3萬度電左右,一年耗電大約1000萬度,是十足的電老虎。 來源:快科技

AMD為何敢保證銳龍7000不缺貨?台積電5nm過剩:EUV都要關機

前不久AMD正式發布了銳龍7000處理器,定於9月27日開始上市,6核售價299美元起,16核的銳龍9 7950X還降價了100美元,性價比大增。 由於上一代的銳龍5000發布之後沒多久就遭遇產能危機,導致產品缺貨、漲價,玩家至今都心有餘悸,銳龍7000這次又上了更先進的5nm工藝,缺貨問題是否重演? AMD的回應是不會,CEO蘇姿豐之前在采訪中就已經確認,這一次AMD在晶圓、基板以及後端方面都大幅提升了產能,隨著Zen4的推出,預計不會出現任何供應限制的問題。 AMD這次為何敢拍胸脯保證不會缺貨?實際上也跟台積電有極大關系,因為時代變了,之前兩年是晶片缺產能,然而今年下半年開始市場需求不足,晶片產能又大增,台積電現在要發愁的是5nm產能利用率。 來自供應鏈的消息稱,由於5nm晶片的利用率下降,台積電不得不考慮關閉部分EUV光刻機,該公司總計擁有大約80台EUV光刻機,計劃今年底關閉其中的4台,畢竟EUV光刻機日耗電3萬度,運行成本很高。 來源:快科技

日耗電3萬度 台積電計劃關閉部分EUV光刻機:先進工藝過剩

EUV光刻機是半導體製造中的核心設備,只有ASML公司才能生產,單台售價約10億人民幣,之前三星、台積電等公司還要搶著買,然而今年半導體形勢已經變了,EUV光刻機反而因為耗電太多,台積電計劃關閉省電。 來自產業鏈的消息人士手機晶片達人的消息稱,由於先進位程產能利用率開始下滑,而且評估之後下滑時間會持續一段周期,台積電計劃從年底開始,將部分EUV 設備關機,以節省EUV設備巨大的耗電支出。 據了解台積電目前擁有大約80台EUV光刻機,主要用於7nm、5nm及以下的先進工藝,今年9月份還會量產3nm工藝,都需要EUV光刻機,然而隨著PC、手機、顯卡等產品的需求下滑,先進工藝生產的晶片勢必會受到影響。 蘋果今年的iPhone 14 Pro系列的A16處理器也沒有急著上3nm工藝,還在用4nm工藝,此外蘋果還因為3nm能效問題,取消了初代3nm生產晶片的計劃。 相比之前的DUV光刻機,EUV光刻機需要使用高能雷射器,而且光線會多次折射導致損耗極大,早期效率只有0.02%,現在量產的說是可以達到2%效率,但也意味著絕大多數電力都要消耗掉。 EUV光刻機生產一天需要3萬度電左右,一年耗電大約1000萬度,是十足的電老虎。 來源:快科技

ASML EUV光刻機被批「電老虎」:功耗100萬瓦 是上代10倍

當前,7nm以及更先進晶片製造有賴於ASML的EUV光刻機才能實現,最新款單價高達1.5億美元,包含10萬個部件和長達2公里的布線。 這麼一台設備除了價格昂貴、零件繁多、造型龐大等,耗電能力同樣不容小覷。 據媒體披露,當前ASML最新一代EUV光刻機的額定功耗是100萬瓦,約是前幾代設備的10倍。僅台積電就擁有超過80台EUV光刻機,粗算之下,開機一天的耗電量高達上百萬度。 類似地,三星在韓國的6個半導體製造基地去年的總能耗占到集團的3%,未來更多EUV光刻機進廠部署後,這個數字還可能繼續提高。 對於晶片製造行業的高耗能問題,業內認為,切換能源類型勢在必行,也就是讓可再生能源發電的比例提高。 來源:快科技

首發「4nm EUV「工藝 Intel 14代酷睿已經成功運行

9月份發布13代酷睿之後,Intel明年又會迎來下一代處理器——14代酷睿Meteor Lake,它會是Intel數十年來最為大膽的一次創新,首次使用多晶片整合封裝,其中CPU部分首發Intel 4工藝,這也是Intel首個EUV工藝。 Intel日前也公布了14代酷睿的最新進度,目前它已經在實驗室中成功啟動運行,這意味著14代酷睿的各個單元已經功能正常,到了可以後期測試的地步了。 考慮到14代酷睿至少還有半年到一年時間才會上市,現在就能點亮啟動意味著整體的進度不錯,傳聞明年上半年發布還是有希望的。 根據Intel的信息,Meteor Lake的CPU Tile模塊是Intel 4工藝生產的,這是Intel的首個EUV工藝,從示意圖展示的來看,這款14代酷睿處理器是6P+8E組成的。 CPU模塊左側的是IOE Tile,也就是之前說的IO模塊,是台積電6nm工藝製造的,同時使用台積電6nm工藝的還有中間的SoC Tile。 Graphics Tile也就是之前說的GPU模塊,是基於台積電5nm工藝生產的。 14代酷睿雖然主要是上面4個模塊,但它其實還有個Base Tile,這部分使用的是Intel的22FFL,也就是22nm工藝製造的,這是Intel Foveros封裝技術的基礎,並不影響處理器性能,用22nm工藝也沒什麼影響。 來源:快科技

2nm晶片研發遭遇瓶頸:沒ASML下一代EUV光刻機搞不掂

在業內,比Intel、台積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。 因為離得近,ASML的原型試做機,往往在完工後就第一時間送交IMEC評估嘗鮮。 日前,IMEC執行長Luc Van den hove在公開路線圖時表示,當前的EUV光刻設備其實可以響應到2nm的微縮水平,不過,想要超越,必須要靠下一代高NA EUV光刻機。 他督促ASML在未來3年內,全力投產高NA光刻機。 所謂高NA也就是光刻機的透鏡和反射鏡數值孔徑達到0.55,進而增加光刻解析度,以便制備更精密的為電路圖像。當前的EUV光刻機均停留在0.33的水平。 一切順利的話,ASML會在明年推出其首款高NA EUV光刻機,Intel、三星和台積電都爭相第一時間部署進廠,其中Intel下手最快。 這款光刻機價值高達4億美元(約合26億元人民幣),組裝好的體積有雙層巴士大、重超200噸。 來源:快科技

Intel先進工藝一路狂飆:4nm EUV好評不斷、1.8nm啟動測試

最近兩天有消息稱Intel的14代酷睿Meteor Lake處理器跳票,原因跟台積電3nm代工的GPU核顯有關,不過Intel官方已經否認了傳聞,稱14代酷睿進展正常,2023年就會上市。 14代酷睿對Intel來說非常重要,不僅是首款使用小晶片、3D封裝的桌面級x86處理器,還會首發Intel 4工藝——這是對標友商的4nm工藝,還是Intel首次使用EUV光刻機,其電晶體的每瓦性能將提高約20%。 Intel前幾天在財報會議上已經確認,該工藝將在今年下半年准備就緒,即將量產,Intel CEO基辛格表示這一代工藝已經獲得了好評,認可度很高。 4nm EUV工藝是Intel先進工藝狂飆的開始,接下來還會有Intel 3工藝,會在Intel 4基礎上再次實現每瓦性能上實現約18%的提升。 Intel 3工藝會由伺服器級的至強處理器首發,其中代號Sierra Forest的處理器會使用效能核,代號Granite Rapids的至強則使用性能核架構,原本使用Intel 4工藝,但Intel 3工藝很好很強大,Intel決定提前量產,Granite Rapids直接升級到Intel 3工藝了。 Granite Rapids雖然是面向2024年的,但是Intel現在已經在開始測試了,CEO基辛格確認它今年下半年進入tape in階段——tape out是流片階段,差不多完成了,而tape in是設計驗證階段,比較早期。 Intel近年來越來越喜歡用tape in來描述晶片進度,也能說明他們的心情之急迫,畢竟行業內之前更流行tape out流片成功之後再公布。 不僅是Intel 3工藝今年就要驗證,未來重中之重的Intel 18A工藝——等效友商1.8nm工藝的新一代工藝也會在今年下半年啟動測試。 18A工藝跟Inel 3一樣不僅會有Intel自用,還是主力的代工工藝,會提供給其他客戶使用,Intel已經確認有半導體大廠合作測試,但是具體客戶沒有公布,最可能的是高通,不過距離簽訂合作訂單還早。 來源:快科技

中芯國際悄然搞定7nm 遺憾無緣極紫外光刻

專業半導體研究結構TechInsights發現,挖礦公司MinerVa的比特幣礦機中,赫然使用了中芯國際7nm工藝製造的晶片! TechInsights公布了該礦機的電路板、晶片內核照,可以看到一塊板子上密布著整整120顆晶片,但晶片內部結構比較簡單,畢竟用途單一,只是挖礦,應該是ASIC。 TechInsights沒有公開中芯國際7nm工藝的具體規格(需付費購買),只是說依然使用了傳統的DUV光刻技術,無緣新的EVU極紫外光刻,而且成熟度、先進程度遠不如台積電、三星的7nm,只有能同時集成製造邏輯電路、存儲單元,才能算是真正的7nm。 即便如此,TechInsights和媒體也對中芯國際的這一成就予以了肯定,認為這是中國突破美國半導體封鎖的一個重要突破。 當然,也有一些特別的聲音,聲稱中芯國際這是抄襲了台積電…… 來源:快科技

單價26億元 ASML下一代EUV光刻機啟動:核心部件到貨

荷蘭ASML公司今天發布了2022年第二季度財報,當季淨銷售額為54.31億歐元,好於市場預期的52.6億歐元,上年同期為40.20億歐元,同比增長35%。 毛利潤為26.65億歐元,上一季度為17.31億歐元,上年同期為20.45億歐元;毛利率為49.1%,上一季度為49.0%。淨利潤為14.11億歐元,上年同期為10.38億歐元,同比增長36%。 Q2新增訂單金額為84.61億歐元,其中包括54億歐元的EUV訂單,較上一季度的新增訂單金額69.77億歐元環比增長21%。 本季度中,ASML公司出貨了12台EUV光刻機,比Q1季度的3台大幅增長,之前因為種種原因限制了出貨。 值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得了重大突破,已經收到了來自供應商的物鏡、光源及工作檯,這也是光刻機的三大核心部件,關繫著光刻機的正常運行。 根據ASML的消息,相比目前NA孔徑只有0.33的光刻機,下一代的EUV光刻機NA孔徑提升到0.55,有望進一步提高晶片工藝,是製造2nm及以下工藝的關鍵設備。 高NA孔徑的EUV光刻機價格也會大幅上漲,相比目前單台10億元的價格,下一代光刻機價格要暴漲到4億美元,人民幣26億元以上,原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 Intel是第一個下單新一代EUV光刻機的,首批4台應該都是他們的,不過三星及台積電也下單了,後面也會角逐新一代工藝。 來源:快科技

14代酷睿首發 Intel 「4nm EUV」工藝下半年量產:功耗降低40%

今年下半年Intel要推出的13代酷睿Raptor Lake還是12代酷睿的改進版,製程工藝也是Intel 7,只是再多8個效能核,總計24核32線程,繼續兼容DDR5/DDR4、LGA1700平台等。 明年就要輪到14代酷睿了,代號Meteor Lake流星湖,這一代架構改進很大,第一次採用非單一晶片設計,彈性集成多個小晶片模塊,包括下一代混合架構CPU、tGPU核顯引擎、AI加速單元,而且功耗非常低。 14代酷睿還會升級工藝,首發Intel 4工藝,而且是Intel首代EUV工藝,相當於友商的4nm EUV工藝,根據Intel前不久公布的數據,Intel 4工藝HP高性能庫的密度可達1.6電晶體/mm2,是目前Intel 7工藝的2倍,高於台積電的5nm工藝的1.3億電晶體/mm2,接近台積電3nm的2.08億電晶體/mm2。 與Intel 7工藝相比,在同樣的功耗下「4nm EUV」工藝頻率提升21.5%,功耗降低了40%。 從參數上來看,Intel的4nm EUV工藝牛得一塌糊塗,沖擊6GHz頻率都有可能,能效甚至可以擊敗蘋果M2。 至於量產時間,雖然明年14代酷睿才上市,但是Intel的4nm EUV工藝今年下半年就會量產,最新的消息稱進展很順利,正在為量產做准備。 來源:快科技

價值10億一台 消息稱Intel已有12台EUV光刻機:14代酷睿首發4nm EUV工藝

與台積電、三星相比,Intel在EUV光刻機上跟進的較晚,但是今年也要追上來了,有分析稱Intel已經有10到·12台EUV光刻機,明年的14代酷睿將首發Intel 4 EUV工藝。 EUV光刻機是目前半導體生產中最先進也是最復雜的裝備,售價約合10億一台,只有荷蘭ASML公司能夠生產,雖然客戶也只有Intel、台積電、三星這三家,但現在還是供不應求,去年生產了55台,預計2025年產能提升到每年90台。 盡管價值不菲,但是EUV光刻機不僅能夠製造先進工藝晶片,同時還能減少工藝步驟,降低復雜度,意味著晶片成本更低,產能更大,在5nm之後的工藝生產中極為重要。 I目前的Intel 10及Intel 7工藝都沒有使用EUV光刻機,Intel會在Intel 4工藝上首發EUV工藝,首款處理器是14代酷睿Meteor Lake,2023年上市,而且也是Intel首款採用3D封裝的桌面處理器。 14代酷睿的進度要取決於Intel的EUV工藝進展,有爆料稱Intel目前大約有10-12台EUV光刻機,現在主要在俄勒岡州的D1X晶圓廠及研發中心,這也是Intel最早量產Intel 4 EUV工藝的工廠,再往後是位於愛爾蘭的晶圓廠,後面也會量產Intel 4工藝。 雖然10-12台EUV光刻機聽著不多,但是實際的產能不小,EUV光刻機每小時處理的晶圓數在160-220個左右,平均200個來算,一年中24小時不停生產就有170萬晶圓,足夠生產數千萬晶片,10台以上的總量足夠Intel生產未來的處理器了。 來源:快科技

單價超26億元 Intel首發新一代EUV光刻機:2025年量產

與台積電、三星相比,Intel這幾年在半導體工藝上落後跟EUV工藝量產有關,Intel之前認為EUV不成熟,並不急著上馬EUV光刻機,結果被台積電三星反超,Intel會在今年下半年的Intel 4工藝上使用EUV光刻機。 Intel在當前的EUV光刻工藝上吃虧了,但是接下來他們追趕的步伐可要加快了,Intel把賭注押在了新一代High NA EUV光刻機上,NA數值孔徑從目前的0.33提升到0.55,該數字越大,EUV光刻機的解析度越高,適合3nm以內的先進工藝。 ASML的新一代EUV光刻機型號為EXE:5200,Intel這次會成為首發用戶,搶下了第一台0.55 NA的EUV光刻機,而且首批6台中Intel也占了大頭,台積電三星會慢一波。 升級到NA 0.55的EUV光刻機技術難度也更大,光是價格就翻了一兩倍,相比目前1.4億美元一台的價格,新一代EUV光刻機的價格要4億美元左右,約合人民幣26億元了。 盡管如此,Intel還是會大力推進0.55 NA的EUV光刻機,高管Mark Phillips最近在一次會議上透露了Intel的路線圖,他們正在跟ASML合作,預計在2023年底到2024年初在美國俄勒岡州的工廠安裝,2025年正式量產。 Intel的晶片工藝路線圖中,最先進的還是18A工藝,不過2024年就要量產了,而且這一代使用的還是當前的EUV光刻機,0.55 NA的光刻機會用於再下一代的新工藝。 這個新工藝目前還沒消息,不過按照工藝演進,應該也是1.4nm級別的,估計代號是Intel 14A,對標台積電的1.4nm工藝。 來源:快科技

ASML新一代EUV光刻機上路:單價超26億

EDA和光刻機可以說是晶片研發、生產設備皇冠上的明珠。 最新消息稱,荷蘭ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。 原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 在ASML公布的今年一季度財報中,EXE:5200已經訂出去不止一台。按計劃,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 據了解,實際上,ASML的EUV光刻機非常龐大,現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 來源:快科技

首次使用EUV工藝 Intel 「4nm」酷睿點亮:成功運行Win/Linux/Chrome三大系統

根據Intel的計劃,在2025年前的4年時間里他們要連續推出5代CPU工藝,2022年下半年量產的就是Intel 4工藝——這個對標台積電、三星4nm的工藝是Intel首次使用EUV工藝,明年的14代酷睿Meteor Lake處理器將首發。 現在Intel CCG客戶端計算事業部執行副總裁兼總經理Michelle Johnston Holthaus透露了一個好消息,那就是Meteor Lake處理器已經成功點亮,並且成功運行了Windows、Linux 和 Chrome OS三種作業系統。 能夠運行系統意味著Meteor Lake處理器的流片測試還不錯,成熟度相當可以了,後續繼續測試驗證,14代酷睿在2023年年中發布還是可以期待的。 根據Intel之前的消息,Metor Lake處理器去年上半年就完成了tape in,這是流片tape out之前的步驟,現在點亮晶片也意味著進展很不錯。 Meteor Lake會是Intel酷睿系列中首個大量使用3D Foveros混合封裝的處理器,主要有三個部分封裝在一起,一是計算模塊,二是GPU模塊,多達96-192個計算單元,三是SoC-LP,應該是包含記憶體控制器、PCIe控制器等輸入輸出部分。 其中的CPU模塊會是Intel 4工藝生產,GPU部分更有意思,應該會使用台積電的3nm工藝,而且Intel顯卡部門一把手Raja Koduri透露稱,Meteor Lake處理器的架構非常令人興奮,能夠以集顯的能效提供獨顯級別的性能。 來源:快科技

「4nm」工藝下半年量產 Intel的EUV光刻機正式安裝:10億一台

根據Intel之前公布的路線圖,Intel 4工藝會是他們首個使用EUV光刻機的工藝,下半年量產,他們從ASML購買的EUV光刻機現在正式開始在愛爾蘭的工廠安裝,這是Intel第二個、歐洲首個EUV工藝晶圓廠。 Intel前幾年就在愛爾蘭的Fab 34晶圓廠投資70億美元升級,主要是為Intel 4工藝准備的,原先該工藝是7nm,去年改名之後就等效於其他廠商的4nm EUV工藝了,也是Intel首個使用EUV光刻機的工藝。 今年1月底,Intel就在Fab 34晶圓廠安裝了光刻膠顯影設備(lithography resist track),不過它還不是真正的EUV光刻機,現在才開始安裝EUV光刻機,要為下半年的量產做准備了。 根據Intel公布的視頻,目前約有100多名ASML的工程師進駐工廠協助安裝,同時Intel也從美國俄勒岡州的工廠中派來了工程師培訓愛爾蘭當地的員工,教導他們使用EUV光刻機。 Intel沒有公布這款光刻機的具體型號,不過ASML最新的EUV光刻機超級貴,均價10億元左右,先進工藝晶圓廠的投資都是幾十億美元甚至上百億美元級別的。 Alder Lake 12代酷睿、Raptor Lake 13代酷睿都是Intel 7工藝(10nm ESF),Meteor Lake 14代酷睿和代號Granite Rapids的下下代至強都將用上Intel 4工藝,今年下半年就要量產,不過14代酷睿上市還要到2023年。 來源:快科技

ASML工廠探秘:3億美元一台的EUV光刻機首次亮相

當地時間3月23日, 美國消費者新聞與商業頻道(CNBC)發布了針對全球光刻機龍頭ASML的采訪視頻,不僅展示了ASML的EUV光刻機工廠,還展示了ASML新一代高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。 一、光刻機為何如此重要? 近年來,隨著全球數位化、智能化進程的加速,全球對於半導體的需求呈現快速增長的態勢。 根據半導體研究機構IC Insights 預計,2021年全球半導體產值躍升至6140億美元,同比大漲25%。2022年全球半導體產值有望達6806億美元規模,同比將增長11%,創歷史新高紀錄。 面對旺盛的半導體需求,目前全球的主要的半導體製造商也在紛紛擴大產能,由此也推升了對於半導體製造設備需求增長。 根據SEMI的預測數據顯示,預計2021年原始設備製造商的半導體製造設備全球銷售總額將達到1030億美元的新高,比2020年的710億美元的歷史記錄增長44.7%。預計2022年全球半導體製造設備市場總額將擴大到1140億美元。 半導體製造設備可以分為前道設備和後道設備。其中,前道製造設備主要包括光刻機、塗膠顯影設備、刻蝕機、去膠機、薄膜沉積設備、清洗機、CMP設備、離子注入機、熱處理設備、量測設備;後道製造設備主要包括減薄機、劃片機、裝片機、引線鍵合機、測試機、分選機、探針台等。 有統計數據顯示,光刻工藝是晶圓製造過程中占用時間比最大的步驟,約占晶圓製造總時長的40%-50%。可以說,如果沒有光刻機,晶片便無法製造。 如果以各類晶圓製造設備在產線當中的投資額占比來看,光刻機也是目前晶圓製造產線中成本最高的半導體設備,約占晶圓生產線設備總成本的27%。 目前能夠製造7nm以下先進位程的EUV光刻機,一台售價約2億美元,只有ASML一家能夠供應,且產能有限。 可以製造2nm先進位程的ASML的新一代高數值孔徑 (High-NA) EUV光刻機EXE:5500的售價將更是高達3億美元。 二、光刻機市場的霸主是如何煉成的? 1、誕生 ASML的前身是荷蘭電子巨頭飛利浦的光刻設備研發部門,曾在1973年成功研發出了新型光刻設備(PAS2000的原型),在整體性能研發方面取得一定成功,但由於成本高昂,且存在一系列技術問題,未能最終推出。 同時,由於其他設備商在解決接觸式光刻機的缺陷問題上用不同的技術路逕取得了突破,飛利浦一度計劃要關停光刻設備研發部門。 不過,隨後另一家半導體設備廠商ASMI希望與飛利浦合作開發生產光刻機,於是在1984年,雙方分別出資約210萬美元成立了ASML。 自2013年起擔任ASML的執行長的彼得·溫寧克(Peter Wennink),雖然早在1999年就加入了ASML,但那已是在ASML成立的15年後。 n △ASML最初的辦公地點 「那時ASML經濟困難,沒有資金,我們很窮。因為飛利浦公司太大了,沒有人看重這個小公司,他們試圖做一些瘋狂的事情,所以他們忽略了我們。」溫寧克說到。 △彼得·溫寧克(Peter Wennink) 在當時的光刻機市場,ASML也還只是一個「無名小卒」。 資料顯示,當時市場主要被美國GCA和日本的尼康所占據,二者分別占據了約30%的市場,Ultratech占比約10%,剩下的市場則被Eaton、P&E、佳能、日立等廠商瓜分,不過他們的份額均不到5%。 2、發展 盡管如此,在成立的第一年,ASML成功地推出了首款步進式光刻機PAS2000(基於1973年推出光刻設備的進一步完善,飛利浦最初的210萬美注資中有180萬美元就是用尚未研發完成的PAS2000充當的)。 不過,PAS2000採用的是油壓驅動,技術落後於當時的同行。 △PAS2000 1986年,ASML改進了對准系統,推出了PAS2500/10步進型光刻機,同時與德國鏡頭製造商卡爾蔡司(Carl Zeiss)建立了穩定的合作關系。 1988年,ASML跟隨飛利浦在台灣的合資流片工廠台積電開拓了亞洲業務,彼時,剛剛成立不久的台積電為ASML帶來了急需的17台光刻機訂單,使得ASML的國際化拓展初見成功。與台積電的深度合作,也為此後ASML的高速發展奠定了基礎。 當時,ASML在美國有五個辦事處,共有84名員工,並在荷蘭維爾多芬(Veldhoven)設立了一個新的據點,最終成為該公司的總部。 1990年左右,ASML推出PAS5500系列光刻機,這一設計超前的8英寸光刻機,其採用了模塊化設計的光刻系統,可以在同一平台上生產多代先進IC。 該平台的完全模塊化設計使晶片製造商能夠隨著技術需求的增加升級系統,並具有業界領先的生產效率和精度,成為了ASML當時扭轉局勢的重要產品。 △PAS5500 PAS5500不僅為ASML帶來台積電、三星和現代等關鍵客戶,憑借PAS5500的優勢持續獲得客戶的認可,也為ASML帶來了市占率的持續提升和豐厚的盈利。到1994年時,ASML在全球光刻機市場的市占率已經提升至18%。 1995年,ASML分別在阿姆斯特丹及紐約納斯達克上市。ASML利用上市募集的資金開始進一步加大研發投入並擴大生產規模,擴建了位於荷蘭埃因霍溫的廠房,現已成為ASML的總部。 3、超越 如果說PAS5500的成功,讓ASML成功在光刻機市場有了重要的一席之地,那麼ASML在浸沒式光刻技術上的成功,則一舉擊敗尼康等頭部光刻機廠商,成為全球光刻機市場的龍頭老大。 在2000年之前,光刻設備中一直採用的是乾式光刻技術,雖然鏡頭和光源等一直在改進,但始終難以將光刻光源的193nm(DUV,深紫外光)波長縮短到157nm,從而進一步提升光刻機的解析度。 直到2002年,時任台積電研發副總的林本堅博士提出了一個簡單解決辦法:放棄突破157nm,退回到技術成熟的193nm,把透鏡和矽片之間的介質從空氣換成水,由於水對193nm光的折射率高達1.44,那麼波長可縮短為193/1.44=134nm,從而可以大幅提升光刻解析度。 從以下公式可以看到,光刻解析度(R)主要由三個因數決定,分別是光的波長(λ)、鏡頭半孔徑角的正弦值(sinθ)、折射率(n)以及係數k1有關。 在光源波長及k1不變的情況下,要想提升解析度,則需要提升n或者sinθ值。由於sinθ與鏡頭有關,提升需要很大的成本,目前sinθ已經提升到0.93,已很難再提升,而且其不可能大於1,所以提升n就顯得更為現實。 因此,在原有的193nm光刻機系統當中增加浸沒單元,利用超純水替換透鏡和晶圓表面之間的空氣間隙(水在193nm波長時的折射率n=1.44,空氣為1),使得光源進入後波長縮短,從而提升光刻解析度。 基於與台積電的長期深度合作,以及希望通過彎道超車來對尼康等走乾式光刻技術路線的頭部光機廠商的趕超,ASML當時選擇了與台積電合作,走浸沒式光刻路線,在2003年開發出了首台浸沒式光刻機樣機TWINSCAN AT:1150i,成功將90nm製程提升到65nm。 2006年,ASML首台量產的浸入式設備TWINSCAN XT:1700i發布。2007年,AMSL又推出了首個193nm的浸沒式系統TWINSCAN XT:1900i。 相對於走乾式157nm光刻機路線進行疊代研發的尼康等廠商來說,ASML 193nm浸沒式光刻機由於是基於原有的成熟的平台進行改進,不僅成本更低、優化升級更迅速,而且精度更高,良率也更高,受到了客戶的普遍歡迎。這也使得ASML通過浸沒式光刻機成功實現了技術及市場的雙重領先。 雖然尼康後期也開始轉向浸沒式光刻系統,但是由於時間進度上的大幅落後,也導致了其難以在浸沒式光刻系統上實現對ASML的追趕,此後開始迅速走向沒落。 4、稱霸 使用193nm ArF光源的乾式光刻,其可以生產的半導體工藝節點可達45/40nm,而進一步採用浸沒式光刻、配合比較激進的可製造性設計(DfM)等技術後,可以生產28nm工藝節點的晶片。而要在193nm浸沒式光刻的基礎上,進入到更高端製程,就必須採用多重曝光,但其半導體工藝製程也只能達到7nm左右的極限。 雖然193nm浸沒式光刻技術解決了此前乾式光刻技術面臨的光刻光源的波長難以進一步縮短的問題,但是隨著工藝製程的繼續推進,要想繼續提升光刻解析度,如果不能進一步縮短光源波長,就必須採用多重曝光, 然而使用多重曝光會帶來兩大新問題:一是光刻加掩膜的成本上升,而且影響良率,多一次工藝步驟就是多一次良率的降低;二是工藝的循環周期延長,因為多重曝光不但增加曝光次數,而且增加刻蝕(ETCH)和機械研磨(CMP)工藝次數等。同時,即便採用了多重曝光,對於193nm浸沒式光刻機來說,製造7nm工藝節點的晶片也已經是極限。 所以,如果要推動半導體製程繼續往5nm及以下走,最為直接的方法就是採用新的波長為13.5nm的EUV(極紫外光)作為曝光光源(僅是193nm的1/14),不僅可以使得光刻的解析度大幅提升,同時也不再需要多重曝光,一次就能曝出想要的精細圖形,而且也不需要浸沒系統,沒有超純水和晶圓接觸,在產品生產周期、OPC的復雜程度、工藝控制、良率等方面的優勢明顯。 得益於通過193nm浸沒式光刻機系統在市場大獲成功,成為全球領先光刻機廠商之後,ASML很快又投入了全新的EUV光刻機的研發。 2010年,ASML首次發售概念性的EUV光刻系統NXW:3100,從而開啟EUV光刻系統的新時代。 但是EUV光刻機的研發不僅耗資巨大,即使研發成功,其單價也是高的驚人(單台售價超過1億美元),僅有少數晶圓製造商能夠負擔的起(目前全球也僅有5家廠商在用EUV光刻機),主要給ASML帶來了巨大的壓力。 為了繼續推動EUV光刻系統的研發,2012年ASML提出「客戶聯合投資專案」(Customer Co-Investment Program), 獲得其主要客戶英特爾、台積電、三星這三大全球晶圓製造巨頭的支持,ASML以23%的股權從這三家客戶那里共籌得53億歐元資金,以投入EUV光刻系統的研發和量產。 2013年,ASML發售第二代EUV系統NXE:3300B,但是精度與效率不具備10nm以下製程的生產效益;2015年ASML又推出第三代EUV系統NXE:3350。 2016年,第一批面向製造的EUV系統NXE:3400B開始批量發售,NXE:3400B的光學與機電系統的技術有所突破,極紫外光源的波長縮短至13nm,每小時處理晶圓125片,或每天可1500片;連續4周的平均生產良率可達80%,兼具高生產率與高精度。 2019年推出的NXE:3400C更是將產能提高到每小時處理晶圓175片。目前,ASML在售的EUV光刻機包括NXE:3300B、NXE:3400C兩種機型。 據ASML介紹,對於EUV光刻機的研發,ASML總計花了90億美元的研發投入和17年的研究,才最終獲得了成功。 憑借著英特爾、台積電、三星著三大頭部客戶的強力支持,再加上ASML自身在EUV光刻領域的持續研發投入,以及在EUV光刻設備上游的關鍵器件和技術領域的多筆收購及投資布局,使得ASML多年來一直是全球EUV光刻機市場的唯一供應商。 1997年,英特爾牽頭創辦了EUV LLC聯盟,隨後ASML作為唯一的光刻設備生產商加入聯盟,共享研究成果。 1999年6月,ASML收購MicroUnity Systems Engineering Inc. 業務部JMaskTools,使得公司在先進技術節點方面可以提供最完整的解決方案,改善了公司光刻機的掃描和成像能力,顯著增加了聚集深度,擴大了光刻窗口,提高了晶片產量。 2001年5月完成對Silicon Valley...

首發19億一台的下代EUV光刻機 Intel將派人幫助ASML加速生產

包括AMD及NVIDIA在內的半導體公司都預測今年下半年全球晶片產能緊張的局面就會緩解,然而生產光刻機的ASML公司對此表示質疑,該公司CEO Peter Wennink日前警告稱半導體晶片製造公司的生產計劃野心勃勃,但可能面臨設備短缺。 Peter Wennink在采訪中表示,盡管各大半導體公司投資了數十億甚至數百億美元以提高產能,然而他們並不可能快速提高產能,因為制約產能的還有半導體設備。 在接下來的兩年中,晶片產量的增長將進一步受到設備不足的限制,這都需要時間來解決。 就連ASML公司自己的光刻機生產都受到了限制,不過Intel CEO基辛格此前表示他們已經派人去幫助ASML公司加速生產。 Intel並沒有提及他們派出多少工程師以及是怎樣幫助ASML提高光刻機產量的,但是他們很可能協助ASML加速新一代EUV光刻機的生產。 現在的EUV光刻機還是NA 0.33技術的,Intel的重點押注在了下一代EUV光刻機,也就是NA 0.55的ASML新一代光刻機,據說成本高達3億美元,約合19億元。 實際上4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 來源:快科技

首發Intel EUV工藝 14代酷睿否認跳票:2021年就已流片

Intel今年底會推出13代酷睿Raptor Lake,跟12代酷睿一樣基於Intel 7工藝和大小核架構,明年則是14代酷睿Meteor Lake,日前有傳聞這代處理器要跳票了,不過消息人士已經辟謠。 來自推友@witeken的消息稱,網際網路上有聲音指Meteor Lake處理器是2022年H2下半年才流片的,據此推斷Meteor Lake被推遲到明年下半年,這個說法是錯誤的,今年下半年的流片是生產流片,Meteor Lake實際上早在2021年就已經試驗流片了。 Meteor Lake是14代酷睿的代號,這一代還是大小核架構,其中性能核升級Redwood Cove,效能核升級Crestmont架構,同時會上Foveros 3D封裝,融合多種IP核心,CPU計算核心會首發Intel 4工藝生產,也就是之前的7nm EUV工藝。 根據Intel的說法,Intel 4工藝將在2022年下半年投產,其電晶體的每瓦性能將提高約20%。 至於上市時間,14代酷睿之前說是在明年上半年就問題,不過主打的是移動市場,桌面平台也會有,但不會是主力。 來源:快科技

4年量產5代CPU Intel先進工藝不再跳票:EUV提前半年問世

在過去的幾年中,Intel在CPU先進工藝上遇到了一些挑戰,後果就是新工藝跳票,14nm工藝從2015年一直用到了2021年,是Intel史上最長壽的工藝了,而在接下來的4年里Intel玩了大的,2025年之前會推出5代CPU工藝,而且還是提前量產半年之多。 前不久的Intel投資者會議上,該公司已經發布了先進工藝的路線圖,從去年的Intel 7工藝開始一路推進到Intel 18A工藝,酷睿處理器從12代一直持續到16代酷睿,甚至17代酷睿都在准備中了,2025年上市。 不僅工藝規劃的很好,而且這一次Intel更有信心,因為多代工藝實際上提前量產了,具體如下: Intel 4工藝是Intel第一個使用EUV光刻工藝的,之前預定是在2023年上半年量產,最新表態是2022年下半年問世,提前半年多,首款產品14代酷睿Meteor Lake,計劃是2023年上市,現在說不定能提前一些,上半年就發布也不是沒可能。 Intel 3工藝是Intel 4工藝的改進版,量產時間沒變,依然是2023年末。 在之後就是革命性的Inel 20A及18A工藝了,其中20A工藝預定量產時間是2024年上半年,現在也沒變,但18A工藝從2025上半年量產提前到了2024年下半年量產,也提前半年,同時意味著2024年Intel量產兩代先進CPU工藝,這種情況也不多見。 來源:快科技

搶先下單19億一台的下代EUV光刻機 Intel表態:再延期也有B計劃

做為IDM 2.0戰略的一部分,Intel上周公布了野心勃勃的產品及技術工藝圖,其中先進工藝的升級換代非常激進,不到4年內就要升級5代工藝,而且從2025年開始的新一代工藝開始會首發新一代的EUV光刻機,價格超過19億一台。 Intel之所以這麼激進,是因為在搶先使用EUV工藝上吃過虧,他們原本計劃在10nm節點就上EUV工藝的,但是EUV光刻機當時還不成熟,隨後被台積電、三星搶先了,陰差陽錯之下工藝落後了。 Intel現在的計劃是在Intel 4工藝(之前的7nm工藝)中使用EUV光刻機,計劃今年下半年投產,首發產品是2023年的14代酷睿Meteor Lake處理器。 現在的EUV光刻機還是NA 0.33技術的,Intel的重點押注在了下一代EUV光刻機,也就是NA 0.55的ASML新一代光刻機,據說成本高達3億美元,約合19億元。 實際上4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 不過Intel這次搶先下單不怕下代EUV光刻機延期嗎?對於這個問題,主管Intel工藝技術的高管Ann Kelleher日前在采訪中也談到了這個可能性,但她表示就算延期了也不會讓公司破產,而是有個B計劃。 至於Intel的B計劃怎麼解決新一代EUV光刻機跳票問題的,這就沒有明確信息了。 來源:快科技

Intel宣布先進CPU工藝路線圖:EUV光刻今年量產 8年集成1萬億電晶體

在從今天凌晨的投資者會議上,Intel公布大量新處理器、新工藝的進展,力度非常猛,2025年之前就要量產5代先進工藝,推出4代酷睿處理器,。 在這其中,CPU工藝是重中之重,也是Intel未來酷睿、至強、ARC顯卡以及封裝技術的基石,這次會議上Intel進一步明確了各代工藝的量產時間及性能情況,我們來看一下。 在過去的2021年,Intel最重要的一步當然是量產了Intel 7(之前的10nm SF工藝)工藝,以此為基礎推出了12代酷睿Alder Lake,今年的13代酷睿Raptor Lake也會繼續使用Intel 7工藝,提高產能、優化性能是重點,13代酷睿這次又增加了8個效能核,總計24核32線程。 現在是2022年了,Intel即將進入下一個節點——Intel 4,也就是之前的7nm,這一代工藝會首次使用EUV光刻機,Intel表示該工藝將在2022年下半年投產,其電晶體的每瓦性能將提高約20%。 首發Intel 4工藝的是14代酷睿Metor Lake,不過它要到2023年才能上市,而且除了Intel自己生產的CPU模塊之外,還會使用台積電的N3工藝,應該是用於GPU模塊等,如果沒記錯的話,這是Intel首次在官方路線圖上確認使用其他廠商的工藝。 Intel 4工藝之後是Intel 3工藝,也是基於EUV光刻的,官方稱Intel 3將具備更多功能,並在每瓦性能上實現約18%的提升,預計在2023年下半年投產。 不過從Intel的路線圖來看,酷睿處理器不會使用Intel 3工藝了,因為15代酷睿Arrow Lake會直接使用20A工藝,後者是首款埃米級CPU工藝,支持RibbonFET和PowerVia這兩項技術,每瓦性能上實現約15%的提升,2024年上半年投產,首發的可能是16代酷睿Lunar Lake。 2024年對Intel來說非常關鍵,因為下半年就會量產18A工藝了,是20A工藝的改進版,每瓦性能上將實現約10%的提升。 從Intel的路線圖來看,他們在未來幾年中非常激進,2025年之前要量產5代工藝,甚至在2024年推出兩代先進工藝,跟之前四五年都沒升級14nm、10nm工藝完全不同,野心非常大。 至於Intel的目標,那就是在2030年通過先進工藝RibbonFET、高NA EUV光刻機、Foveros 3D封裝等各種技術,實現在單個設備中繼承超過1萬億個電晶體,要知道目前最頂級的晶片(包括密度更高的GPU在內)也不過是百億級電晶體,Intel要在8年內實現5-10倍的密度提升,再續摩爾定律輝煌。 來源:快科技

Intel CPU晶片工廠已有多台EUV生產設備 數量保密

過去幾年中Intel在晶片工藝上被認為落後於台積電、三星,一個重要原因就是沒有及時跟進EUV工藝,讓兩家對手搶先量產了7nm、5nm等工藝,不過Intel這一年來變化很大,也對EUV光刻工藝上心了,加速推進新工藝量產。 Anandtech網站的核心編輯𝐶𝑢𝑡𝑟𝑒𝑠𝑠𝐶𝑢𝑡𝑟𝑒𝑠𝑠日前受邀參觀了Intel在俄勒岡州的D1X工廠,他表示在那里看到了一些EUV生產設備,不過具體有多少就不能說了,顯然這是Intel的商業機密,不能對外透露。 1月底,Intel宣布了一批EUV設備進廠,不過當時進場安裝的是歐洲愛爾蘭的Fab 34晶圓廠,那是一台光刻膠顯影設備(lithography resist track),將與EUV極紫外掃描儀搭檔,首先為矽晶圓覆上精密的塗層,然後進入EUV掃描儀,進行曝光,接著晶圓回到光刻設備,再進行一系列的高精密光顯影、清理操作。 愛爾蘭的Fab 34晶圓廠未來會量產Intel 4工藝,也就是之前的7nm工藝,這是Intel首個使用EUV光刻機的工藝,2023年的14代酷睿Metor Lake處理器會首發該工藝。 不過Intel在EUV光刻機上的野心很大,重點會放在下一代EUV光刻機上,已經搶先訂購了NA 0.55高數值孔徑EUV光刻機,據說成本高達3億美元,約合19億元。 相比目前NA 0.33的EUV光刻機,Intel購買的新一代光刻機可以量產更先進的CPU工藝,未來的20A、18A工藝都會用上,最快2025年量產。 來源:快科技

下代EUV光刻機要來了 炬光科技:是ASML核心供應商的重要供應商

1月25日消息,針對投資提問ASML的新一代光刻機EXE:5200是否使用了炬光科技的產品,炬光科技回應稱公司是ASML公司核心供應商A公司的重要供應商。 炬光科技表示,公司為半導體光刻應用領域提供光刻機曝光系統中的核心雷射光學元器件光場勻化器,是荷蘭ASML光學設備核心供應商A公司的重要供應商。相關商用情況請以官方披露為准。 上周的Q4財報會議上,ASML公司確認將推出下一代的高NA EUV光刻機,NA值從0.33提升到0.55,進一步提高光刻解析度,是製造2nm及以下工藝的關鍵設備。 Q4季度中,ASML公司還新增了71億歐元的新訂單,其中就有一套NA 0.55高數值孔徑EUV光刻機的銷售,這是ASML下一代光刻機,訂購這個光刻機的是Intel,據說成本高達3億美元,約合19億元。 4年來Intel實際上已經下單了6台NA 0.55的EUV光刻機,其中分為兩種,Twinscan Exe:5000系列主要用於工藝研發,產能輸出是185WPH,每小時生產185片晶圓,2023年上半年交付。 量產型的NA 0.55光刻機是Twinscan Exe:5200,產能提升到200WPH,每小時200片晶圓,預計會在2024年下線,Intel的20A工藝正好是在2024年量產。 來源:快科技

Intel EUV極紫外光刻設備進廠:沖刺「4nm」工藝

位於愛爾蘭萊克斯利普(Leixlip)、投資70億美元的Intel Fab 34晶圓廠迎來重要時刻:一台光刻膠顯影設備(lithography resist track)緩緩進入工廠,這也是該廠的第一台巨型晶片製造工具。 該設備來自Intel美國俄勒岡州工廠,搭乘飛機越過大西洋,來到了愛爾蘭。 這台設備將與EUV極紫外掃描儀搭檔,首先為矽晶圓覆上精密的塗層,然後進入EUV掃描儀,進行曝光,接著晶圓回到光刻設備,再進行一系列的高精密光顯影、清理操作。 一座典型的晶圓廠包含大約1200台先進位造設備,大部分價值都在百元美元級別。 Intel Fab 34晶圓廠2019年動工建設,計劃2023年正式投產,將會把Intel在愛爾蘭的產能翻一番,並為未來生產Intel 4工藝鋪平道路——嚴格來說是Intel 7nm,但是官方重新命名,認為它可以媲美行業4nm水平。 Alder Lake 12代酷睿、Raptor Lake 13代酷睿都是Intel 7工藝(10nm ESF),Meteor Lake 14代酷睿和代號Granite Rapids的下下代至強都將用上Intel 4工藝。 官方透露,新工藝研發進展順利,晶片測試已經完美通過,SRAM、邏輯單元、模擬單元都符合規范,去年第二季度還早早完成了Meteor Lake計算單元模塊的流片。 Intel現階段正在全球建設、升級晶圓廠,除了愛爾蘭還有美國本土的亞利桑那州、新墨西哥州、俄勒岡州,以及馬來西亞,投資上百億美元,很快還會宣布在歐洲、美國的更多晶圓廠建設計劃。 來源:快科技

單價超19億 Intel全球首個下單訂購ASML最先進EUV光刻機:性能大增

1月19日最新消息,Intel宣布第一個下單訂購了ASML TWINSCAN EXE:5200光刻機。 TWINSCAN EXE:5200是ASML的高NA(數值孔徑)EUV光刻機,其吞吐量超每小時220片晶圓(wph)。 從路線圖來看,EXE:5200預計最快2024年底投入使用,2025年開始大規模應用於先進晶片的生產。 事實上,4年前,ASML的第一代高NA(0.55 NA)光刻機EXE:5000,Intel就是第一個下單的公司。不過當前的7nm、5nm晶片還並非是其生產,而是0.33NA EUV光刻機。 和0.33NA光刻機相比,0.55NA的解析度從13nm升級到8nm,可以更快更好地曝光更復雜的集成電路圖案,突破0.33NA單次構圖32nm到30nm間距的極限。 外界預計,第一代高NA光刻機EXE:5000會率先用於3nm節點,至於EXE:5200,按照Intel的製程路線圖,2025年至少是20A或者18A,也就是5nm和5nm+。 此前,ASML發言人曾對媒體透露,更高的光刻解析度將允許晶片縮小1.7倍、同時密度增加2.9倍。未來比3nm更先進的工藝,將極度依賴高NA EUV光刻機。 最後不得不說,Intel能搶到第一單,除了和ASML一致緊密合作外,當然也是因為「鈔能力」,Gartner分析師Alan Priestley稱,0.55NA下一代EUV光刻機單價將翻番到3億美元(約合19億元人民幣)。 來源:快科技

Intel晶片廠產能將提升30% 五年後首發18A工藝及下代EUV光刻機

今年3月份Intel新任CEO基辛格宣布了全新的IDM 2.0戰略,此後Intel開始了大規模的工廠擴建計劃,在美國、歐洲、亞洲等地區都會建晶圓製造及封測工廠,未來五年內產能提升30%,而且新工藝頻發。 今年9月份,Intel已經在亞利桑那州動工建設新的晶圓廠,投資高達200億美元,兩座工廠分別會命名為Fab 52、Fab 62,並首次透露這些工廠將會在2024年量產20A工藝——這與之前預期的不同,原本以為會量產的是Intel 4這樣的下兩代工藝。 在歐洲,Intel之前宣布了未來十年內有望投資1000億美元的龐大計劃,目前除了擴建愛爾蘭的晶圓廠之外,還有望在德國建設新的晶圓廠,在義大利建設新的封測廠,只不過現在還沒有正式公布,要到明年初才能決定。 前不久Intel還宣布在馬來西亞投資71億美元擴建封測廠,這里是Intel的晶片封測基地。 業界估計,Intel此番大舉擴張,預計在5年內,也就是2026年的時候產能將增長30%以上,有望追趕台積電。 除了產能提升之外,Intel的晶片工藝也會突飛猛進,從今年底的12代酷睿使用的Intel 7工藝開始,到2025年的四年里升級五代工藝——分別是Intel 7、Intel 4、Intel 3及Intel 20A、Intel 18A,其中前面三代工藝還是基於FinFET電晶體的,從Intel 4開始全面擁抱EUV光刻工藝。 至於後面的兩代工藝,20A首次進入埃米級時代,放棄FinFET電晶體,擁有兩項革命性技術,RibbonFET就是類似三星的GAA環繞柵極電晶體,PoerVia則首創取消晶圓前側的供電走線,改用後置供電,也可以優化信號傳輸。 20A工藝在2024年量產,2025年則會量產改進型的18A工藝,這次會首發下一代EUV光刻機,NA數值孔徑會從現在的0.33提升到0.55以上。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

新一代EUV光刻機要爆發了

隨著先進位程晶片上量(包括邏輯晶片和存儲器),晶片製造端的高技術含量規模也在不斷擴大,其中,最具代表性的就是EUV光刻機,市場對其需求在未來幾年將大幅增加。 ASML預期今年EUV設備出貨量有望達到50台,這已經是一個非常可觀的數字了,即使如此,仍然供不應求。 隨著邏輯晶片及DRAM製程的演進,單片晶圓EUV曝光光罩層數正在快速提升,其中先進邏輯製程晶圓2021年EUV曝光層數平均已超過10層,2023年將超過20層。 據ASML預估,月產能達4.5萬片的7nm~3nm製程12吋晶圓廠,單片晶圓EUV光罩層數介於10~20層,EUV光刻機安裝數量達9~18台;月產能達10萬片DRAM廠,單片晶圓EUV光罩層數介於1~6層,EUV光刻機安裝數量達2~9台。 這些將大量催生對EUV曝光設備的需求量,2025年之前的EUV光刻機需求將逐年創下新紀錄。 需求側不斷提升 目前,對EUV設備需求量最大的晶片廠商包括英特爾、台積電、三星和SK海力士,未來幾年,這四巨頭對EUV的需求將持續增加。 顯然,先進位程晶片龍頭台積電對EUV光刻機的需求量最大,可以與英特爾做一下比較,到2023年,預計台積電共擁有133台EUV光刻機,而英特爾為20台。 目前,台積電占行業EUV設備安裝基礎和晶圓產量的一半,並計劃通過最先進的3nm和2nm晶圓廠擴大產能。 近幾年,台積電一直在提升EUV設備采購數量,今年下半年以來,其5nm產能全開,包括蘋果A15應用處理器及M1X/M2電腦處理器、聯發科及高通新款5G手機晶片、AMD的Zen 4架構電腦及伺服器處理器等將陸續導入量產。 為了維持技術領先,台積電由5nm優化後的4nm將在明年進入量產,全新3nm也將在明年下半年導入量產,EUV需求量可見一斑。 自2018年以來,ASML增加了EUV光刻機的產量,生產了約75台,據說台積電購買了其中的60%。 三星方面,其晶圓代工和先進位程DRAM都需要EUV光刻機,而且數量逐年遞增,僅次於台積電。據統計,三星目前擁有25台EUV設備,數量約為台積電的一半。 為了獲得更多的EUV設備,2020年10月,三星領導人、副董事長李在鎔飛到ASML總部,商討穩定采購EUV設備,據說訂購了大約20台。一台的價格超過200億韓元(1.77 億美元)。 根據三星2019年4月宣布的 Vision 2030,該公司計劃總投資133萬億韓元,希望成為全球頂級晶圓代工企業。該公司每年花費10萬億韓元來開發晶片代工技術並購買必要的設備,特別是EUV光刻機,以追趕手台積電。 再來看一下英特爾,前些年,該公司認為EUV工藝不夠成熟,現在EUV光刻工藝已經量產幾年了,英特爾開始跟進,其新推出的Intel 4製程將全面導入EUV光刻機,之後的Intel 3、Intel 20A工藝會持續導入EUV。 2025年之後,該公司的製程工藝規劃到了Intel 18A,將使用第二代RibbonFET電晶體,EUV光刻機也會有一次重大升級,為此,英特爾表示將部署下一代High-NA EUV,有望率先獲得業界第一台High-NA EUV光刻機。 目前,該公司正與ASML密切合作,確保這一行業突破性技術取得成功,超越當前一代EUV。 NA表示數值孔徑,從目前的最高值為0.33,今後將提升到0.5,據悉,ASML的NXE:5000系列將實現這樣的性能,之前預計是在2023年問世,現在推遲到了2025年,單台售價預計將超過3億美元。 以上談的是邏輯晶片的生產,在存儲器方面,特別是DRAM,三星和 SK 海力士現在都在其DRAM生產中使用EUV設備,美光則表示計劃從2024年開始將EUV應用於其DRAM生產。 供給側跟進 隨著EUV光刻技術變得越來越重要,ASML的優勢也越發明顯。不過,光刻機供貨商除ASML之外,還有日本廠商尼康(Nikon)和佳能(Canon),這兩家在深紫外線(DUV,光源波長比EUV長)的光刻技術上能與ASML競爭,但ASML作為企業龍頭,在DUV光刻領域,也擁有62%的市場份額。 目前,雖然只有ASML一家能生產EUV光刻機,但由於其技術過於復雜,也需要與業內的半導體設備廠商和科研機構合作,才能生產出未來需要的更先進EUV設備。 例如,不久前,東京電子(TEL)宣布,向imec-ASML聯合高 NA EUV 研究實驗室推出其領先的塗布機,該設備將與 ASML 的下一代高NA EUV光刻系統NXE:5000 集成。 與傳統的 EUV 光刻相比,高 NA EUV...
功耗降低30% 台積電3nm快馬加鞭 2021年正式量產

打破日本壟斷 三星與韓國廠商合作開發成功EUV光刻膠

EUV光刻工藝除了需要EUV光刻機之外,也需要配套的EUV光刻膠,目前這一市場也主要被日本廠商壟斷,現在三星與韓國半導體廠商東進合作開發成功EUV光刻膠,已經通過驗證。 東進半導體19日宣布,近期通過了三星電子的EUV PR(光刻膠)可靠性測試。 消息人士稱,東進半導體在其位於京畿道華城的工廠開發了EUV PR,並在三星電子華城 EUV生產線上對其進行了測試,並已通過可靠性測試。 PR,也稱為光刻膠,是半導體曝光工藝中的關鍵材料。 它應用於晶片上,當用半導體曝光設備照射光時,會發生化學反應並改變物理性質,通過用顯影劑沖洗掉PR來繪制微電路,只留下必要的部分。 2019年,日本與韓國爆發爭議之後曾經限制三種重要的半導體材料對韓國的出口,EUV光刻膠就是其中之一,為此韓國公司也加快了EUV光刻膠的研發。 雖然已經通過了測試,不過三星是否會在EUV生產線上立即使用東進半導體的EUV光刻膠還不確定,三星及東進拒絕表態。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

Intel確認EUV光刻工藝的處理器2023年出貨:酷睿、至強都有

與台積電、三星相比,Intel這幾年在半導體工藝上放慢了腳步,這里面原因有很多,不過一個重要因素就是Intel一直認為EUV光刻工藝不成熟,他們追求的是在沒有EUV光刻機的情況下開發7nm甚至5nm工藝,結果耽誤了時間。 面對對手在EUV光刻上的領先,Intel現在也加快腳步了,原先叫做7nm的節點現在改名為Intel 4,這是Intel第一個使用EUV光刻機的工藝。 日前在接受媒體采訪時,Intel邏輯工藝開發總經理Sanjay Natarajan確認,Intel已經確認EUV光刻工藝可以達到用於生產的地步,Intel也會迅速轉向該工藝,EUV可以簡化很多工藝流程,將30步工藝減少為3步操作,降低了出錯的可能。 Sanjay Natarajan確認,Intel第一代EUV光刻的處理器會在2023年出貨,桌面版是Meteor Lake,伺服器端則是Granite Rapids處理器。 Meteor Lake處理器大家很熟悉了,這就是2023年要發布的14代酷睿,除了EUV工藝之外,還會使用先進的3D封裝,分為多個模塊,其中CPU計算模塊的IP已經tape in,今年底或者明年初應該就流片了。 來源:快科技

ASML介紹新一代高NA EUV光刻機:晶片縮小1.7倍、密度增加2.9倍

按照業內預判,2025年前後半導體在微縮層面將進入埃米尺度(Å,angstrom,1埃 = 0.1納米),其中2025對應A14(14Å=1.4納米)。 除了新電晶體結構、2D材料,還有很關鍵的一環就是High NA(高數值孔徑)EUV光刻機。根據ASML(阿斯麥)透露的最新信息,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 Gartner分析師Alan Priestley稱,0.55NA下一代EUV光刻機單價將翻番到3億美元。 那麼這麼貴的機器,到底能實現什麼呢? ASML發言人向媒體介紹,更高的光刻解析度將允許晶片縮小1.7倍、同時密度增加2.9倍。未來比3nm更先進的工藝,將極度依賴高NA EUV光刻機。 當然,ASML並不能獨立做出高NA EUV光刻機,還需要德國蔡司以及日本光刻膠塗布等重要廠商的支持。 ASML現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 去年ASML僅僅賣了31台EUV光刻機,今年數量提升到超100台。 來源:快科技

3億美元單價翻倍 ASML下一代EUV光刻機提前量產:Intel搶首發

在上月的ITF大會上,半導體行業大腦imec(比利時微電子研究中心)公布的藍圖顯示,2025年後電晶體進入埃米尺度(Å,angstrom,1埃 = 0.1納米),其中2025對應A14(14Å=1.4納米),2027年為A10(10Å=1nm)、2029年為A7(7Å=0.7納米)。 當時imec就表示,除了新電晶體結構、2D材料,還有很關鍵的一環就是High NA(高數值孔徑)EUV光刻機。其透露,0.55NA的下代EUV光刻機一號試做機(EXE:5000)會在2023年由ASML提供給imec,2026年量產。 不過,本月與媒體交流時,ASML似乎暗示這個進度要提前。第一台高NA EUV光刻機2023年開放早期訪問,2024年到2025年開放給客戶進行研發並從2025年開始量產。 據悉,相較於當前0.33NA的EUV光刻機,0.55NA有了革命性進步,它能允許蝕刻更高解析度的圖案。 分析師Alan Priestley稱,0.55NA光刻機一台的價格會高達3億美元(約合19億),是當前0.33NA的兩倍。 早在今年7月,Intel就表態致力於成為高NA光刻機的首個客戶,Intel營銷副總裁Maurits Tichelman重申了這一說法,並將高NA EUV光刻機視為一次重大技術突破。 來源:快科技

SK海力士:正積極爭取為無錫存儲工廠引進EUV光刻機

本月22日,韓國半導體工業協會成立30年紀念活動在首爾舉辦。 與會期間,SK海力士CEO Seok-hee Lee(李錫熙)和媒體交流時談到了無錫海力士半導體工廠相關情況。 關於EUV光刻機進廠可能延期的問題,李錫熙表示,正與美方合作,進展良好。EUV光刻技術已經在韓國本土的DRAM產線上應用,中國工廠還有充足的時間供斡旋溝通。 據悉,SK海力士將基於EUV光刻機製造10nm DRAM晶片,也就是第四代記憶體。無錫工廠同樣計劃應用相關技術,目前正尋求多種途徑克服困難,畢竟它是一家韓國企業。 資料顯示,無錫海力士工廠的DRAM產能大約占SK海力士全球產能的15%。 來源:快科技

14代酷睿」流星湖「兩年後上市 Intel燒錢加速「4nm」工藝

很快Intel就要推出12代酷睿Alder Lake了,除了大小核架構之外,還會升級Intel 7工藝,也就之前的10nm SF增強版。明年的13代酷睿是12代酷睿的加強版,代號Raptor Lake,2023年就要輪到Meteor Lake(流星湖),這是14代酷睿,升級Intel 4工藝。 Intel 4就是之前的7nm工藝,官方已經統一改名,這一代會全面引入EUV極紫外光刻,能效比相比Intel 7再提升大約20%,明年下半年投產。 首款Intel 4工藝的產品就是Meteor Lake,這代開始還會使用更先進的Foveros 3D封裝技術,將多個內核集成在一起。 根據最新公布的示意圖,Meteor Lake主要有三個部分封裝在一起,一是計算模塊,二是GPU模塊,多達96-192個計算單元,三是SoC-LP,應該是包含記憶體控制器、PCIe控制器等輸入輸出部分,類似AMD銳龍/霄龍里的IO Die。 目前Meteor Lake處理器已經Tape in,設計進入了後期階段,預計明年下半年量產,2023年上市。 考慮到Meteor Lake這一代工藝升級更復雜,Intel投入的資金也越來越多,官方財報中披露Q3季度在這方面砸下4.55以美元,約合29億人民幣,隨著產品不斷進入後期,Inte 4工藝的花費後續還會增多。 研發製造先進工藝處理器真的是一個非常燒錢的過程,按照Intel的花法,一年輕松超過20億美元,上百億人民幣才能打造一款高性能處理器。 來源:快科技
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

無需EUV光刻機 鎧俠開發NIL半導體工藝:直奔5nm

在半導體工藝進入10nm節點之後,EUV工藝是少不了的,但是EUV光刻機價格高達10億一台,而且產量有限,導致晶片生產成本很高。日本鎧俠公司現在聯合夥伴開發了新的工藝,可以不使用EUV光刻機,工藝直達5nm。 據據日媒報導,鎧俠從2017年開始與半導體設備廠佳能,以及光罩、模板等半導體零組件製造商DNP合作,在日本三重縣四日市的鎧俠工廠內研發納米壓印微影技術(NIL)的量產技術,鎧俠已掌握15nm量產技術,目前正在進行15nm以下技術研發,預計2025年達成。 與目前已實用化的極紫外光(EUV)半導體製程細微化技術相比,NIL更加減少耗能且大幅降低設備成本。 因NIL的微影製程較單純,耗電量可壓低至EUV生產方式的10%,並讓設備投資降低至40%。 而EUV設備由ASML獨家生產供應,不但價格高,且需要許多檢測設備配合。 目前NIL在量產上仍有不少問題有待解決,包括更容易因細微塵埃而形成瑕疵等問題。 如果鎧俠能成功率先引進NIL量產技術,可望彌補在設備投資競賽中的不利局面,又能符合減少碳排放的需求。 對鎧俠來說,NAND組件採取3D堆疊立體結構,更容易因應NIL技術的微影製程。 鎧俠表示,已解決NIL的基本技術問題,正在完善量產技術,希望能率先引入NAND生產。 根據DNP說法,NIL技術電路精細程度可達5nm,DNP從2021年春起,根據設備的規格值進行內部仿真。 DNP透露,從半導體製造商詢問增加,顯示不少廠商對NIL技術寄予厚望。 而佳能則致力於將NIL技術廣泛應用於製作DRAM及PC用CPU等邏輯IC的設備,供應多種類型的半導體製造商,將來也希望能應用於手機應用處理器等最先進位程。 來源:快科技

1γ節點開始導入 美光確認EUV工藝DRAM記憶體晶片2024年量產

CPU、GPU為代表的邏輯工藝製程進入7nm之後,EUV光刻工藝是少不了的,現在記憶體還停留在10nm工藝級別,暫時沒用到EUV工藝。不過三星、SK海力士及美光也確定未來會用,其中美光的EUV工藝記憶體在2024年量產。 美光CEO Sanjay Mehrotra日前在采訪中確認,美光已將EUV技術納入DRAM技術藍圖,將由10nm世代中的1γ(gamma)工藝節點開始導入。 美光EUV工藝DRAM將會先在台中A3廠生產,預計2024年進入量產階段。 注意,這個是1γ工藝,不是之前的1y工藝,10nm級別的記憶體工藝中前三代是1x、1y、1z,再往後是1a、1β、1γ等。 在美光之前,三星及SK海力士都更早進入了EUV節點,從去年底就開始部署EUV光刻工藝了,而且比美光更激進,最快在1a工藝節點就會量產EUV記憶體晶片。 來源:快科技

9.38億元 ASML第一台全新EUV極紫外光刻機交付

作為全球第一光刻機供應商,荷蘭ASML(阿斯麥)今天公布了2021年第二季度財報。 當季,ASML淨銷售額40億歐元,毛利率50.9%,淨收入10億歐元,淨預訂額83億歐元,其中EUV極紫外光刻機就有49億歐元,而總的積壓訂單金額已達175億歐元。 ASML在財報中還披露,第一台全新TWINSCAN NXE:3600D EUV光刻機系統已經交付給客戶,相比之前的NXE:3400C生產力提高了15-20%,覆蓋率(套刻精度)提高了約30%。 不過,ASML未透露接收客戶是哪一家。 ASML還表示,正努力增加EUV光刻機在存儲行業的量產應用,計劃協助三個DRAM記憶體晶片客戶在未來的工藝節點中導入EUV。 ASML EUV光刻機目前還是第一代產品,EUV光源波長13.5nm左右,物鏡NA數值孔徑0.33,並發展了一系列型號。 最早量產出廠的是NXE:3400B,產能有限,晶圓產能只有125PWH,目前的出貨主力是NXE:3400C,產能提升到135WPH,而最新的NXE:3600D產能進一步提升到160WPH,價格據說也達到了1.45億美元(約合人民幣9.38億元)。 第二代EUV光刻機將會是NXE:5000系列,物鏡NA提升到0.55,進一步提高光刻精度,但原計劃2023年問世,現在推遲到2025-2026年,而價格預計將突破3億美元。 第三季度,ASML預計淨銷售額52-54億歐元,毛利率51-53%。 來源:快科技

世界最賺錢的機器 11億一台 中國怎麼造不出來?

想要征服世界,只有用一台革命性的機器,一台讓競爭對手望塵莫及的機器才能做到。這就是製造晶片必不可少的光刻機,單台售價可達11億人民幣的「印鈔怪獸」。 今天就來講一講專門製造光刻機的ASML,這家牢牢卡住全球晶片製造喉嚨的荷蘭公司,看看它作為後起之秀是如何征服世界的。 光刻機是晶片製造的核心設備。 目前,掌握全球最領先光刻技術的只有荷蘭ASML公司,它憑著一己之力吸納了全球市場份額的80%。當今世界上,能做精度在7nm及以下的光刻機,只有這一家,每年的生產數量只有30台。 7nm是什麼概念?這相當於把一根頭發絲劈成幾萬份。這些EUV光刻機並不是你想買就能買到的。三星、英特爾和台積電等晶片領域的巨頭都是ASML的股東,每年都在不遺餘力的「買買買」搶購,其他企業只能排隊等。 想當年,美國研發EUV光刻技術,拼的可是舉國之力。上世紀80年代,ASML脫胎於飛利浦公司下屬的一個研究院,作為母公司的飛利浦,並不看好它的盈利前景。彼時,約有七八家日本和美國企業占據市場。它幾次走在危險邊緣,幾乎被賣掉、或宣告破產。 這家名不見經傳的荷蘭小廠,到底是如何能夠趕超美國、日本,把GCA、尼康等當年的光刻機巨頭拉下神壇的呢? 為什麼別的國家有而我們沒有? 這家目前全球最大的光刻機製造商,來自荷蘭南部一座不足30萬人口的城市——維爾德霍芬。ASML是如何開始製造光刻機這個「吞金獸」的呢?一次,荷蘭飛利浦公司派人前往美國考察,回來時帶來一顆「晶片」。面對這顆來自美國的晶片,飛利浦人的第一反應是遺憾,為什麼別的國家有而我們沒有?在他們看來,這是錯失了與世界先進技術同步發展的「證據」,於是下定決心「要放下一切工作,集中精力投入集成電路的技術研發」。 彼時,並不屬於大眾眼中的「好時機」。不過,一小撮對技術精益求精的飛利浦人並不這麼想。他們認為其他公司的光刻機設備既不夠精準,也過於耗費人力,不足以應對晶片更新。 飛利浦的半導體和材料部與前沿技術研發實驗室決定聯合起來製造一台光刻機。1967年,兩個部門實驗室研發出的原型成品曾在公司內部展會上吸引了董事的關注。但高光時刻沒持續多久,一台帶有自動平衡系統的新型洗衣機把董事的眼光和腳步全都吸走了。 1980年前後,飛利浦遇到經營危機,他們開始著手將包含光刻機業務在內的非核心業務停止或出售給其他公司。問題是,誰來接手這項已經被飛利浦弄得奄奄一息的業務呢? 創業的路總是很殘酷 距離飛利浦所在地90公里的地方,一家叫做ASM的半導體業務公司偶然獲知了這一消息,這家公司的執行長叫德爾·普拉多,他正是很多人眼中的歐洲設備工業之父。 德爾·普拉多曾就讀於哈佛大學商學院,混跡於美國矽谷。當他數年後返回荷蘭時,一手拿著晶圓,一手拿著 500 美元,決心投入半導體行業。 德爾·普拉多很會利用雜誌、展會等當時熱門營銷工具來推動公司的發展,換句話說,這樣的人才在2021年,也妥妥地是個能玩弄流量於股掌之間,熱搜輪番上的奇才。 為了和飛利浦公司合作,他頻出奇招,或是直接致信聯系,或是利用宣傳隔空喊話飛利浦——美國的大型公司都渴望與小型公司合作,為什麼不推動荷蘭成為第二個矽谷呢? 終於,1984年愚人節這天,ASML成立了。創始人德爾·普拉多雖然熟悉半導體行業,但卻對光刻領域一無所知,面對新成立的「爛攤子」——ASML,德爾·普拉多「騙」來了賈特·斯密特。請記住這個名字,這是ASML的第一任執行長,也是ASML的首席架構師,一個真正為ASML賦予靈魂的人。 斯密特雖然是一位擁有博士學位的工程師,但他的雄心壯志更在於管理、戰略、制定路線、贏得勝利。賈特·斯密特從不在乎花多少錢,他只要最高水準。也正是這一特質使ASML後來得以用超前水準的產品征服整個光刻機行業。 創業的路總是很殘酷。ASML初創時期,幾十個員工擠在飛利浦門口的簡易板房里辦公,門口就是一排臭氣熏天的垃圾桶。除了「因為被選入ASML而對前途不抱希望」的47名飛利浦員工和1名ASM員工,17台無法出售的光刻機,0%的市場份額和空空的存款,什麼都沒有,買杯咖啡都會破產。 ASML在缺錢——動不動缺一億美元,缺人——高精尖技術沒人能掌握,缺客戶——沒人願意買產品,缺時機——整個半導體行業都陷入頹勢,缺時間——趕著交不出貨中艱難求生。執行長斯密特只能不斷給員工「畫餅」,雖然我們現在還沒有產品上市,但我們一定會成功的。 斯密特也同樣善於營銷。ASML想打入美國市場,斯密特知道,參與這場遊戲必須按美國人的規則,要用一次壯觀的、有沖擊力的、聲勢浩大的宣傳樹立形象。於是他的廣告標題是「ASML 展示的產能讓 GCA 和尼康都不敢看」。 斯密特的管理智慧是,他希望公司成為一個統一的整體,不是演奏鋼琴或小提琴,而是指揮一場交響樂;不是麥可·喬丹,而是整個芝加哥公牛隊。 ASML創造奇跡的時刻終於來了!20世紀90年代,整個半導體行業遇到了一個共同的難題。——光刻機光源的波長沒法再短於193nm了,這可怎麼辦? 面對難題,老巨頭尼康等廠商依然採用傳統解題方法,強行將光源縮短到157nm波長。另一邊,台積電鬼才林本堅提出了浸潤式光刻。 在鏡頭和光刻膠之間加一層水,經過水的折射,天塹一躍而過,光線波長可以由193nm變為132nm。林本堅拿著這項「沉浸式光刻」方案,跑遍美國、德國、日本等國,游說各家半導體巨頭,但都吃了閉門羹。 當時還是小角色的ASML決定賭一把,押注浸潤式技術更有可能以小博大。於是和林本堅一拍即合,僅用一年時間,就在2004年拼全力趕出了第一台浸潤式光刻機樣機,並先後奪下IBM和台積電等大客戶的訂單。 命運總是嘉獎勇敢者。2007年, ASML拿到了60%的光刻機市場份額,首次超過尼康。2015年,第一台可量產的EUV樣機正式發布。 有一個形象的比喻來表示光刻機光源產生極紫外光EUV的難度——在颶風中心,以每秒5萬次的頻率用桌球球擊中同一隻蒼蠅兩次。製作一顆晶片大概需要3000道工序,要想保證光刻機完美運轉,每一步的成功率都要高於99.99%。 可以說,EUV光刻機幾乎逼近當前物理學、材料學以及精密製造的極限。 想要澆灌出這株盛放在荷蘭的技術之花,既需要德國的光學設備與超精密儀器,也需要美國的計量設備與光源。托舉起如今的阿斯麥的,是整個西方最先進的工業體系。 對於ASML來說,這些都是已經創出一番天地後的故事,而不為人所知的那段在「練習室」里死磕的時光,如何從「練習室走向台前」的坎坷經歷,才真正隱藏著崛起的密碼。 ASML首部傳記《光刻巨人:ASML崛起之路》作者瑞尼·雷吉梅克說,這個世界上可能不會有公司能在未來十年中獲得類似ASML這樣的成功,除非奇跡發生。 在他看來,與其復制一個ASML,不如發揮創造力,將研究資金投入到將擊敗傳統光刻技術的未來技術中。 當年的尼康復制了GCA的步進光刻機,還增強了機器可靠性。但照搬總是落後,尤其是前面有一個表現出色的勝利者。探索新技術可能會更有回報。 歷史上,試圖在後期進入光刻市場的公司都失敗了,他們不缺乏資金和技術,甚至有的公司機器生產率更高,價格更便宜,但還是沒有做到後來居上。通俗來說就是,沒有這個運氣和機遇。 來源:快科技

SK海力士使用EUV大規模生產1anm DRAM:供應手機廠商

記憶體廠商的新戰鬥正在悄悄打響,現在SK海力士官方給出消息稱,開始啟用EUV光刻機快閃記憶體記憶體晶片。 按照官方的說法,公司的第四代10nm(1a)級工藝的 8Gigabit(Gb) LPDDR4 移動端 DRAM(動態隨機存儲器)產品已經在今年7月初開始量產。 SK海力士預計從下半年開始向智慧型手機廠商供應採用1a納米級技術的移動端 DRAM。 在這之前,三星和美光也都表示,將啟用EUV光刻機快閃記憶體記憶體晶片之後,不過美光時間上要更晚一些,其要在2024年生產新的EUV記憶體晶片。 去年SK海力士宣布斥資90億美元(約合600億元)收購Intel快閃記憶體部門,合並之後有望成為僅次於三星的第二大快閃記憶體巨頭,六大原廠將減少為五家。 來源:快科技

Intel CEO基辛格:全面擁抱EUV光刻、會有重大工藝升級

在10nm節點Intel的進度比預期晚了多年,很大一個原因跟EUV光刻機有關,Intel之前一直認為EUV技術不成熟,所以他們在10nm節點使用了四重曝光工藝,量產難度大,導致10nm工藝延期。 現在Intel的態度變了,對EUV光刻工藝開始重視起來了,畢竟三星、台積電的EUV工藝都量產幾年了,Intel也將在7nm節點全面使用EUV光刻工藝,只不過該工藝也跳票了,預計2023年才能量產。 在日前參加摩根大通的會議時,CEO基辛格表示,Intel將全面擁抱EUV光刻工藝,大家能夠看到Intel對EUV工藝進行多代重大改進,大家能看到電晶體級別的重大改進。 從Intel的表態來看,他們對EUV工藝很有信心,不同廠商手中EUV工藝的發揮情況也不同,Intel這番表態暗示他們會對EUV工藝做出重大改進,甚至能深入到電晶體級別的升級改良。 來源:快科技