Home Tags ASML

Tag: ASML

ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

EUV光刻機研發難在哪?ASML:數百家頂級供應商 40年時間

在半導體設備中,光刻機是核心設備,決定了工藝的先進程度,EUV是當前最先進的光刻機,可以製造7nm以下的工藝,全球僅有荷蘭ASML公司能夠生產,單價將近10億元,下一代EUV甚至超過25億元。 研發EUV光刻機到底有多難?全球還有別的公司可以製造出來嗎?對於這樣的疑問,ASML公司日前在財報會議上談到了EUV的難點。 ASML表示,就ASML而言,它由數百個供應商組成,每個供應商在什麼方面都是世界級的。 只要提到通快、蔡司和VDSL的名字,他們的工作就是世界級的,這只是上百家供應商中的三個。 研發光刻機需要的不止是專利,它的訣竅是人,是大腦,ASML表示這些花了40年的時間。 物理學規律在全球都是一樣的,但是ASML做到這一步有著數百家公司積累的專業知識,他們作為系統集成商做出了光刻機。 來源:快科技

ASML公布2022Q4和全年財報:表現高於預期,訂單積壓達創紀錄404億歐元

今天,ASML(阿斯麥)公布了2022年第四季度和全年財報。 在2022年第四季度中,淨銷售額為64億歐元(約合人民幣473.77億元),毛利率為51.5%,淨利潤為18億歐元(約合人民幣133.25億元),整體表現高於ASML預期。在2022年第四季度里,淨預定量為63億(約合人民幣466.36億元)歐元,其中34億歐元(約合人民幣251.69億元)自於EUV系統。在該季度里,ASML根據之前完成的股票回購計劃和目前的2022-2025年計劃購買了價值約3億歐元的股票。 ASML在2022年全年淨銷售額為212億歐元(約合人民幣1569.35億元),毛利率為50.5%,淨利潤為56億歐元(約合人民幣414.55億元),同樣比預期要更好一些。截至2022年末,積壓的訂單總量達到了創紀錄的404億歐元(約合人民幣2990.65億元)。2022年總股息為每股普通股5.80歐元,與2021年相比增長了5.5%。 ASML預計,2023年淨銷售額將有超過25%的增長,同時毛利率會改善,研發成本約為9.65億歐元,SG&A費用約為2.85億歐元。同時ASML預計2023年第一季度的淨銷售額在61億歐元到65億歐元之間,毛利率在49%到50%之間。 ASML執行長Peter Wennick表示,會繼續看到通脹、利率上升、經濟衰退風險以及與出口管制相關的地緣政治發展造成的市場不確定性,不過其客戶表示,預計市場將會在2023年下半年反彈。考慮到ASML的訂單交付時間和光刻投資的戰略性質,目前對ASML系統的需求仍然強勁。 ...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

有多貴?ASML新EUV光刻機單台硬體造價:向頂級戰機看齊節奏

都知道光刻機單台成本非常的貴,但是你知道有多貴嗎? 一台數億美元的光刻機讓我們看到了一款硬體設備的價格極限,然而,ASML CEO Peter Wennink最新接受媒體采訪時透露,他們正在全力研製劃時代的新光刻機high-NA EUV設備,而高NA EUV光刻機系統的單台造價將在25億元(單台造價在3億到3.5億歐元之間,約合人民幣21.95到25.61億元)。 這個價格什麼概念,資料顯示重型航母(排水量60000噸以上)航母造價是35億美金左右,而上述光刻機成本等同於f35戰鬥機造價(1.5-2.5億美元)。 盡管如此昂貴,但Intel此前表示自己是全球第一個下單的客戶,台積電也跟進了。高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。 按照ASML的說法,高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。該公司還預計其營收將在2025年翻一番。 來源:快科技

ASML宣布DUV和EUV光刻設備擴產,同時上調營收目標並推出新的股票回購計劃

近日ASML(阿斯麥)在投資者日會議上,執行長Peter Wennick和執行副總裁兼財務長Roger Dassen介紹了ASML的長期戰略、大趨勢、市場需求、產能計劃和商業模式,以支持公司的未來增長。其中很重要的一點是,ASML計劃調整其生產能力,以滿足未來的需求,為周期性做好准備,同時與所有利益相關者公平分擔風險和回報。 根據ASML的新計劃,2025年至2026年的年產能將提高到90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。在2022年第三季度中,ASML已收到了TWINSCAN EXE:5200系統的新訂單,來自於當前EUV光刻系統的客戶,而首個購入High-NA EUV系統的客戶是英特爾,預計2025年開始投入運營。 ASML還基於不同的市場情景,預計會有巨大的增長機會,以實現以下目標: 2025年 - 年收入約300億歐元至400億歐元之間,毛利率約54%至56%之間。 2030年 - 年收入約440億歐元至600億歐元之間,毛利率約56%至60%之間。 ASML不但上調了營收目標,而且還打算通過增加股息和股票回購的組合,繼續向股東返還大量現金。為此ASML宣布了一項新的股票回購計劃,自2022年11月11日起生效,將於2025年12月31日前執行,計劃回購不超過120億歐元的股票,其中總計最多200萬股將用於支付員工股票計劃。 在一系列好消息的刺激下,近期ASML股價上漲,也帶動了其他半導體設備股的股價。 ...

ASML公布2022Q3財報:訂單積壓超過380億歐元,新增訂單金額再創新高

ASML(阿斯麥)公布了2022年第三季度財報,顯示該季度中淨銷售額為58億歐元(約合人民幣405.92億元),毛利率為51.8%,淨利潤為17億歐元(約合人民幣118.98億元)。 ASML執行長Peter Wennick表示,2022年第三季度的淨銷售額和毛利率高於預期,新增訂單金額達到了89億歐元(約合人民幣622.88億元),創下了歷史新高,其中38億歐元來自於EUV系統的訂單,包括了High-NA系統。雖然受到了通貨膨脹、消費者信心和經濟衰退風險等全球宏觀經濟因素的影響,市場充滿了不確定性,細分市場也存在動態和分化,但客戶的整體需求依然強勁。 數據顯示,ASML在2022年第三季度銷售了80台全新的光刻機,還有6台二手的光刻機,包括了12台EUV設備(與第二季度一致)和74台DUV設備(低於第二季度的79台)。目前ASML訂單積壓的情況仍較為嚴重,包括100多台EUV光刻機和大約600多台DUV光刻機,總金額超過了380億歐元(約合人民幣2659.51億元,高於第二季度的330億歐元)。 在2022年第三季度中,ASML收到了TWINSCAN EXE:5200系統的新訂單,來自於當前EUV光刻機的客戶,此前該客戶並沒有訂購過這類設備。新的EUV系統將提供0.55數值孔徑,雖然ASML沒有透露具體客戶的名稱,但從過往的消息來看,已下單的廠商包括了台積電、英特爾、三星和SK海力士。 ASML預計2022年第四季度的淨銷售額在61億歐元到66億歐元之間,毛利率約為49%,全年淨銷售額大概在211億歐元,毛利率接近50%。 ...

ASML首席技術官認為當前光刻技術或走到盡頭,High-NA EUV可能成為終點

近年來,ASML站到了世界半導體技術的中心位置。去年ASML兩次提高了生產目標,希望到2025年,其年出貨量能達到約600台DUV(深紫外光)光刻機以及90台EUV(極紫外光)光刻機。由於持續的晶片短缺,交付問題每天都在發生,而且ASML還遇到了柏林工廠火災這樣的意外。 日前,ASML的首席技術官Martin van den Brink接受了Bits & Chips的采訪。 據Martin van den Brink介紹,開發High-NA EUV技術的最大挑戰是為EUV光學器件構建計量工具,配備的反射鏡尺寸為此前產品的兩倍,同時需要將其平整度控制在20皮米內。這種需要在一個「可以容納半個公司」的真空容器中進行驗證,其位於蔡司公司,這是ASML推進High-NA EUV技術的關鍵光學合作夥伴,是後來加入的。 目前ASML有序地執行其路線圖,且進展順利,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,大概會在明年某個時間點完成。雖然供應鏈問題仍可能打亂ASML的時間表,不過應該問題不大。High-NA EUV光刻機會比現有的EUV光刻機更為耗電,從1.5兆瓦增加到2兆瓦。主要原因是因為光源,High-NA使用了相同的光源需要額外0.5兆瓦,ASML還使用水冷銅線為其供電。 外界還想知道,High-NA EUV技術之後的繼任者。ASML技術副總裁Jos Benschop在去年SPIE高級光刻會議上透露了可能的替代方案,即降低波長。不過這種方案需要解決一些問題,因為EUV反射鏡反射光的效率很大程度上取決於入射角,而波長的降低會改變角度范圍,使得透鏡必須變得太大而無法補償,這種現象也會隨著數值孔徑的增加而出現。 Martin van den Brink證實,ASML正在對此進行研究,不過個人而言,懷疑Hyper-NA將是最後一個NA,而且不一定能真正投入生產,這意味經過數十年的光刻技術創新,我們可能會走到當前半導體光刻技術之路的盡頭。ASML進行Hyper-NA研究計劃的主要目標是提出智能解決方案,使技術在成本和可製造性方面保持可控。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。到了hyper-NA系統,會高於0.7,甚至達到0.75,理論上是可以做到的。 Martin van den Brink不希望製造更為龐大的「怪物」,預計hyper-NA可能是接下來半導體光刻技術發展會出現問題的地方,其製造和使用成本都會高得驚人。如果採用Hyper-NA技術的製造成本增長速度和目前High-NA EUV技術一樣,那麼經濟層面幾乎是不可行的。就目前而言,Martin...

2nm晶片研發遭遇瓶頸:沒ASML下一代EUV光刻機搞不掂

在業內,比Intel、台積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。 因為離得近,ASML的原型試做機,往往在完工後就第一時間送交IMEC評估嘗鮮。 日前,IMEC執行長Luc Van den hove在公開路線圖時表示,當前的EUV光刻設備其實可以響應到2nm的微縮水平,不過,想要超越,必須要靠下一代高NA EUV光刻機。 他督促ASML在未來3年內,全力投產高NA光刻機。 所謂高NA也就是光刻機的透鏡和反射鏡數值孔徑達到0.55,進而增加光刻解析度,以便制備更精密的為電路圖像。當前的EUV光刻機均停留在0.33的水平。 一切順利的話,ASML會在明年推出其首款高NA EUV光刻機,Intel、三星和台積電都爭相第一時間部署進廠,其中Intel下手最快。 這款光刻機價值高達4億美元(約合26億元人民幣),組裝好的體積有雙層巴士大、重超200噸。 來源:快科技

台積電表示晶片需求依然強勁,但ASML很難提供足夠的製造工具

在過去兩年里,市場對晶片的高需求和客戶支付費用的上升,使得台積電的營收不斷創下歷史新高。盡管近期消費類晶片的需求放緩,不過對5G、AI、HPC和汽車晶片的需求依然保持穩定。台積電面臨的問題是晶圓廠需要獲得更多的設備,而ASML(阿斯麥)早已表示對半導體製造工具的需求大大超過了供應量。 此前台積電公布了2022年第二季度的業績,創下了182億美元的新紀錄,同比增長43.5%。雖然4月和5月同比增長了55%和65.3%,但是到了6月,同比增長大幅度減少到18.5%,這表明增長正在放緩。 採用現代工藝生產的晶片,周期普遍都在60天或以上,具體時間取決於復雜程度和層數,台積電的N16工藝約為60天、N7工藝需要90天以上、N5工藝要100天以上,而這些製程節點占據了台積電65%的收入。如果客戶從今年3月和4月開始減少訂單,那麼最快從營收上顯現就是6月。雖然需求下降,但台積電也承認一些領域的晶片需求仍超過了其供應能力,預計2022年剩餘時間里,產品依然緊張。 目前台積電N4/N5工藝的出貨量占2022年第二季度收入的21%,N6/N7工藝的收入占30%,兩者相加達到了銷售金額的51%,意味著先進工藝占據了超過一半的收入。隨著越來越多的客戶採用N7或更先進的工藝,意味著台積電需要進一步擴大產能,這也是台積電今年資本支出達到了400億到440億美元的原因之一。 此外,台積電還建議客戶從一些較舊的製程節點遷移到28nm或具備專業技術的工藝,這可以確保產能的穩定。台積電計劃到2025年,將這些工藝的產能擴大50%。光刻機不是唯一的半導體製造工具,台積電還需要購置額外的配套設備,以構建完整的生產線。 AnandTech表示,ASML今年計劃出貨55台EUV(極紫外)光刻機和240台DUV(深紫外)光刻機,其中40台EUV光刻機價值64億歐元,剩下15台通過快速裝運方式操作,意思是跳過ASML的一些工廠測試項目,直接在客戶現場測試並驗收,這會影響款項入帳時間。ASML預計2023年的產能將提高到60台EUV光刻機,以及375台DUV光刻機。 台積電並不是唯一一家擴大產能的晶圓廠,不過目前ASML訂單積壓的情況已較為嚴重,據稱包括100多台EUV光刻機和大約600台DUV光刻機,總金額大概在330億歐元,加上潛在增加的訂單,需要數年時間才能消化。事實上,在2022年第二季度中,ASML的預訂量就傳下了季度記錄,共有91台光刻機(2022年第一季度為59台),其中EUV光刻機有12台(2022年第一季度為3台)。 台積電已決定今年的資本支出將處於計劃內的較低水平,意味著大概在400億美元左右,部分采購項目會推遲到2023年,將交付時間延期。原因並不是晶片需求減弱或台積電資金不足,而是半導體設備製造商不能提供足夠的設備。 如果晶圓廠想額外獲得更多的半導體製造工具,估計比較難。未來一段時間內,如果客戶想在台積電下單,採用N3、N4和N5工藝,半導體製造工具不足會影響晶片的工藝技術選擇。 ...

ASML公布2022Q2財報:客戶需求依然強勁,新增訂單金額創新高

ASML(阿斯麥)公布了2022年第二季度財報,顯示該季度中淨銷售額為54.31億歐元(約合人民幣373.67億元),毛利率為49.1%,淨利潤為14.11億歐元(約合人民幣97.08億元)。 ASML執行長Peter Wennick表示,2022年第二季度的財報顯示客戶的需求依然強勁,新增訂單金額達到了85億歐元(約合人民幣584.83億元),創下了歷史新高,其中54億歐元(約合人民幣371.54億元)來自0.33NA和0.55NA EUV系統訂單以及大量的DUV訂單。為了滿足客戶的產能擴張計劃,ASML將在今年剩餘時間內加快出貨速度,提高計劃的出貨數量。 在DUV業務方面,ASML交付了第一套NXT KrF系統,即TWINSCAN NXT:870,可實現27%的吞吐量增長;在應用業務方面,ASML在客戶現場內完成了第一套eScan1100多電子束系統的安裝,客戶目前正對其進行評估;在EUV High-NA業務上,目前已收到供應商提供的首個High-NA投影光學模組和照明模組以及全新的晶圓台,將用於EXE:5000項目的初始測試和集成中。 根據2021年至2013年的股票回購計劃,ASML在2022年第二季度購買了大概12億歐元(約合人民幣82.56億元)的股票,該計劃的詳細信息以及與之相關的交易均發布在其官網上。同時ASML按照修訂後的股息政策,將按季度支付股息,從2022年8月12日支付中期股息開始,即每股普通股1.37歐元(約合人民幣9.43億元)。 ASML預計2022年第三季度的淨銷售額在51億歐元到54億歐元之間,毛利率在49%到50%之間,全年淨銷售額增長約10%。 ...

單價26億元 ASML下一代EUV光刻機啟動:核心部件到貨

荷蘭ASML公司今天發布了2022年第二季度財報,當季淨銷售額為54.31億歐元,好於市場預期的52.6億歐元,上年同期為40.20億歐元,同比增長35%。 毛利潤為26.65億歐元,上一季度為17.31億歐元,上年同期為20.45億歐元;毛利率為49.1%,上一季度為49.0%。淨利潤為14.11億歐元,上年同期為10.38億歐元,同比增長36%。 Q2新增訂單金額為84.61億歐元,其中包括54億歐元的EUV訂單,較上一季度的新增訂單金額69.77億歐元環比增長21%。 本季度中,ASML公司出貨了12台EUV光刻機,比Q1季度的3台大幅增長,之前因為種種原因限制了出貨。 值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得了重大突破,已經收到了來自供應商的物鏡、光源及工作檯,這也是光刻機的三大核心部件,關繫著光刻機的正常運行。 根據ASML的消息,相比目前NA孔徑只有0.33的光刻機,下一代的EUV光刻機NA孔徑提升到0.55,有望進一步提高晶片工藝,是製造2nm及以下工藝的關鍵設備。 高NA孔徑的EUV光刻機價格也會大幅上漲,相比目前單台10億元的價格,下一代光刻機價格要暴漲到4億美元,人民幣26億元以上,原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 Intel是第一個下單新一代EUV光刻機的,首批4台應該都是他們的,不過三星及台積電也下單了,後面也會角逐新一代工藝。 來源:快科技

ASML新一代EUV光刻機上路:單價超26億

EDA和光刻機可以說是晶片研發、生產設備皇冠上的明珠。 最新消息稱,荷蘭ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。 原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 在ASML公布的今年一季度財報中,EXE:5200已經訂出去不止一台。按計劃,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 據了解,實際上,ASML的EUV光刻機非常龐大,現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 來源:快科技

光刻機巨頭ASML爆猛料:晶片太缺 都開始拆洗衣機了

晶片不夠,洗衣機來湊。 沒開玩笑,這話可是光刻機巨頭ASML的CEO——Peter Wennick,在最近的財報電話會議中親口說的: 一家大型工業集團的高管告訴我,他們正在大量購買洗衣機,把里面的半導體「抽出來」,放到自家的晶片模塊里。 Peter還補充說:「這種事,到處都在發生。」 消息一出,立刻成為了半導體圈里的「今日頭條」: 全球缺芯,已然是一個不爭的事實。 但竟連巨頭ASML都能曝出這種猛料,現在晶片真的缺到這種地步了嗎? 以至於面對這種奇聞異事走入現實,有網友甚至都在懷疑ASML有炒作的嫌疑…… 也有人從中發現新的機會。 那麼我們不妨從ASML財報入手,來看看這件事的原委。 中國成ASML第一季度最大客戶 2022年第一季度,ASML淨銷售額35億歐元,淨利潤6.95億歐元,毛利率49.0%,相比上一季度均有所下降。 交付至中國的產品占比從22%上升到34%,中國成為本季度第一大客戶。 預訂情況來看,第一季度淨預定量70億歐元,其中25億來自EUV光刻機。 其中包括更先進的下一代EUV,採用0.55NA(數值孔徑)。 NA值越高,所製造晶片上的電路解析度也就越高。 首批高NA光刻機訂單由英特爾搶購成功,台積電甚至都沒拿到。 下一代EUV光刻機最快將在2024年底正式投產。 對於未來,ASML預計第二季度銷售額在51億-53億歐元之間,全年收入預計會增長20%。 在ASML眼中,2022財年的前景似乎相當穩定。 但在投資市場上,受宏觀經濟衰退的預期所影響,半導體行業似乎開始遇冷了。 有人在會議上向Wennink提出這樣的問題: 是投資人太悲觀了,還是你們太樂觀了? Wennink認為從市場需求來看,足夠樂觀。 ASML今年能完成全部訂單的60%就已經很不錯了。 也就是在接下來的回答中,爆料了洗衣機的事情,試圖表達需求沒有減弱的跡象。 那麼,問題來了: 回收洗衣機晶片,真的靠譜嗎? 一台洗衣機,即使是非智能不聯網的那種,用到晶片的地方還挺多的。 首先,要自動執行洗衣程序,各類傳感器得有吧。 壓力傳感器負責給衣服稱重、液面傳感器控制水位。還得有測水溫的、通過光線透射率判斷是否洗干淨了的…… 要是再高端一點,還會用到自動檢測布料材質的。 接下來,所有這些傳感器檢測到的數據,傳輸到處理器里去計算,通常是用單片機完成。 數據處理好之後,再給控制單元下達指令,執行轉速調整,進水放水等操作。 另外,操作面板上也得單獨來一塊負責人機互動的單片機,負責按鈕或觸摸操作,以及顯示屏驅動等功能。 洗衣機還有兩個特點,內部環境潮濕,以及運行起來震動大,這就給晶片提出了額外的抗干擾、出錯後有能力復位等要求。 這些要求拉高了洗衣機所用晶片的質量,不過也給回收利用增加了一些難度。 為了防水,通常會灌注樹脂等材料把洗衣機電路板包裹起來,像這樣: 這要想批量處理掉,拆出里面的半導體元件難度不小,成本恐怕也不低。 就這,也有公司要特意收購洗衣機來拆,足見缺芯情況之嚴重了。 甚至有人順著這個思路開始懷疑,ASML的CEO怕不是講了個段子? 回收洗衣機晶片的成本之高,只有用於生產售價超過100萬美元的產品上才make sense。 但實際上,對洗衣機「下手」可能只是整個行業的一個縮影。 ASML的CEO後面補充道,半導體回收技術15-25年前就有了,現在正在世界各地得到應用。 物聯網是主要應用方向。 物聯網設備數量大,所用的晶片又不那麼精密、復雜,使回收利用成為可能。 比如洗衣機常用的瑞薩電子RX系列單片機,就能同時用於各類家電,甚至工業設備和機器人。 就連ASML自己,也在2020年交付了一種復用舊傳感器、舊零件生產的SMASH掃描設備。 全球缺芯愈演愈烈 雖說此次ASML執行長所爆料的半導體領域「搜刮洗衣機」事件,更像是一件奇聞趣事走入現實。 但其背後所影射出更深入的話題便是——全球晶片愈演愈烈。 正如Peter在此次財報電話會議中所透露出來的信息,即便是像ASML這種段位的選手,自家的產能也只能滿足60%光刻機的訂單需求。 而且他還透露中國一家主要晶片製造商已經售罄了到2023年底的全部產能。 無獨有偶,美國半導體蝕刻機供應商泛林集團CEO,同樣是在最近的財報電話會議中表示: 在需求方面整體環境仍然十分強勁。 而與供應相關的持續延誤,可能會限制今年年內有關晶圓設備的實際投入。 台積電方面,CEO魏哲家也強調供應商所面臨的挑戰,勞動力和晶片方面的限制導致交付時間延長,其產能在2022年仍然緊張。 除了半導體龍頭設備商發出的這些重要信號之外,國外一些研究機構所發布的調研也是與缺芯現狀相契合。 例如Susquehannna金融集團的研究表明,3月份半導體交互等待周期上升,達到26.6周的新高。 而在2019年的時候,當時的正常「晶片交付周期」,還是停留在6至9周;到了2021年7月,晶片訂單的平均交付時間已延長至19周。 再具體到細分領域,汽車行業在「缺芯」浪潮中的體現可以說是最為直觀。 就在最近,三大汽車廠商紛紛下場對此表態: 豐田:本周將今年的產量目標下調了約10萬輛。 特斯拉:公司的生產仍受到晶片短缺和關鍵零部件漲價的影響。 大眾:缺芯的負面影響可能會持續下去 …… 之所以會出現「晶片荒」,一個主要的大原因便是全球疫情的爆發。 生產晶片離不開代工廠,不過晶片的正常運行,同樣需要上游化學產業的支持,例如氫氟酸、光刻膠、矽晶體等,都是不可或缺的關鍵。 疫情的爆發不僅讓晶片代工廠出現停產,上游的產業同樣面臨這個問題。 即使在疫情得到相應控制,代工廠逐步開始復工,但與之「配套」的其它產業似乎並沒有跟上步伐。 其次便是天災,光刻膠便是一個典型的例子。 在去年受日本強地震的影響,市場近八成的光刻膠供應告急,像供貨商「信越」更是一度宣布關閉廠區。 除此之外,整體產業的需求失衡也是疫情帶來的一個「副作用」。 因為居家辦公、居家上學在疫情之下成為了人們生活工作的一種主流方式,隨之而來的便是對電子消費級產品的需求暴增。 這就使得原本根據需求環境劃分的軍工級、車規級、工業級和消費級晶片,其產能出現了「搶奪」的態勢。 …… 然而,即便是主流晶片設備廠商、代工廠齊發聲將「持續缺芯」,但還有一些調研機構卻持反對意見。 例如Gartner的分析師Richard Gordon,針對此次ASML放出的「搜刮洗衣機」一事認為: 引用的例子似乎有些極端,不能代表現狀。 由於電動汽車、工業物聯網、5g等新應用的出現,半導體產業的長期前景看起來是樂觀的。 並且Gordon對近幾年半導體市場分析後表示——「我們已經度過了晶片短缺的高峰期」。 那麼對於缺芯還將持續多久,你持什麼觀點呢? 歡迎在評論區留言討論~ 來源:快科技

ASML表示今年只能滿足60%訂單,有企業甚至拆洗衣機將晶片另作他用

此前ASML(阿斯麥)執行長Peter Wennick在接受媒體采訪時候稱,ASML已為晶片短缺盡了最大的努力,但其光刻機的年產量仍落後於半導體製造商提出的采購要求。據Seeking Alpha報導,在近期的季度電話會議上,Peter Wennick表示看到了所有細分市場上都出現了前所未有的需求,無論是先進位程節點還是成熟製程節點。 Peter Wennick認為當前宏觀經濟環境雖然有不確定性,但基本增長動力仍在。ASML今年計劃出貨55台極紫外(EUV)光刻機和240台深紫外(DUV)光刻機,不過目前光刻機訂單的積壓數量超過了500台,交貨時間大約要兩年。如果沒有足夠的光刻機,意味著半導體製造商沒有辦法提高產能,未來幾個季度整個半導體供應鏈會繼續供不應求。 據Protocol報導,這位CEO還在會議上透露了一些企業是如何不顧一切地採取各種措施來尋找晶片,以此說明晶片短缺情況有多糟糕。據Peter Wennick的描述,有幾家大型工業公司已經要購買消費級別的洗衣機,拆解後取出晶片用於其他工業用途。由於洗衣機使用的都是利用成熟工藝製造的晶片,相比一般的洗衣機價格,本身較為廉價,正常來說這種方法似乎成本有點高,所以有可能是回收二手或損壞的洗衣機。 在ASML看來,大家在各個維度都大大低估了半導體方面的需求,無論從技術、市場還是地域等方面,而且可能很長時間內都會受到影響。Peter Wennick坦誠,即便是15年、20年甚至25年前的半導體技術,現在依然到處使用,物聯網的發展可能進一步推動普通消費產品里一些舊晶片的需求。 ...

二手半導體生產設備價格飆升,中國成為全球最大購買方

此前ASML(阿斯麥)執行長Peter Wennick在接受媒體采訪時候表示,ASML已為晶片短缺盡了最大的努力,但其光刻機的年產量仍落後於半導體製造商提出的采購要求,考慮到這種精密設備的復雜性,產量上很難提高。目前半導體生產設備已出現大面積延遲,訂單要等上兩年才能交付,ASML預期晶片產能緊張的情況會延續到2023年。 現階段不少半導體製造商為了應對晶片短缺問題,都大量投資產能,行業顯得非常火熱,但受制於生產設備的產量,實際上產能提升受到了極大的限制。如果想較短時間內提升產能,購買二手半導體生產設備成為了一種可行的解決方案。 一些推進先進位程工藝的廠商會將原有的半導體生產設備出售給中間商或租賃公司,然後轉售或出租給採用成熟製程工藝的半導體製造商,隨著整個行業對這類型設備的需求增加,二手半導體生產設備價格飆升。有媒體報導指,在過去幾年里,二手半導體生產設備的價格已翻了一倍。Mitsubishi HC Capital的一位銷售代表稱,最極端的情況下,有些二手半導體生產設備的價格翻了五倍。 據統計,2021年全球半導體生產設備的銷售額,從2020年的712億美元上升到了1026億美元,增長了44%。毫無疑問,中國市場領跑,購買了296.2億美元的半導體生產設備,隨後的是韓國(249.8億美元)和台灣(249.4億美元)。 雖然大家都在討論極紫外(EUV)光刻機等先進的前沿半導體生產工具,但事實上採用成熟製程工藝的晶片需求也在不斷增長。顯而易見的例子是電源管理IC(PMIC),通常會採用成熟製程工藝技術和200mm晶圓。這類型工藝要求的市場需求強勁,促使晶圓代工廠不斷興建200mm晶圓廠,以滿足模擬晶片、PMIC、MOSFET、MCU、汽車晶片、以及物聯網設備等晶片需求的增長。 ...

半導體製造設備交付需等待18個月,多重因素疊加影響產能提升

近兩年來,半導體供應鏈上出現了各種短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張,這需要大量采購生產設備。此前已有報導稱,由於市場需求旺盛,作為全球最大的光刻機製造商的阿斯麥(ASML)即便再提速,其光刻機年產量仍落後於半導體製造商提出的采購要求,需要在目前基礎上提高50%才能滿足市場的需求。 事實上,現階段半導體產能擴張已經十分困難,半導體製造設備的生產出現了瓶頸。據TomsHardware報導,目前半導體設備製造商已告知客戶,新訂單的平均等待時間約為18個月。ASML稱,從鏡塊、閥門、泵到微控制器、工程塑料等各種零配件的供應都遇到了困難,將影響設備的交付時間。顯然,半導體製造設備交付延遲,最終會影響半導體供應鏈。Unimicron表示,基板的交貨時間已經從2021年的12個月到18個月,增加到了目前的30個月。 除了半導體製造設備的生產,半導體行業缺乏足夠專業人士應付現階段的產能擴張,這不但影響了供應,還導致原有計劃延誤。據悉,台積電部分晶圓廠的建設,就因缺乏足夠工作人員而面臨延遲。此外,也間接導致了業內挖角機率的增加,促使像英特爾這樣的業內巨頭花更多的錢吸引和留住技術人才,對於中小型企業而言,想尋求發展的阻力就更大了。 雖然半導體製造商都投入巨資,旨在緩解供應鏈問題,並確保未來幾年的可持續增長,但仍會受制於各方面的因素,無法滿足各方面產品的所有要求,最終只能將有限的產能用於利潤率較高的產品中。隨著時間的推移,半導體行業持續的供應問題將逐漸在消費市場上顯現,從定價到供應等各方面,比如新產品發布後要經過很長時間才會降價。 ...

光刻機或成為晶片產量提升的主要瓶頸,ASML表示產能需提高50%才能滿足市場

近兩年來,晶片短缺成為了熱門話題。由於半導體供應鏈上的短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張。這也衍生出另外一個問題,即用於提高產能的半導體生產工具產量是否能滿足需求。 阿斯麥(ASML)是全球最大的光刻機製造商,而光刻機是製造晶片的核心設備。ASML在45nm以下工藝製程的光刻機市場占據了85%的份額,在EUV(極紫外光刻技術)光刻機領域則處於絕對壟斷地位,市場占有率100%,這也是推進5nm/7nm工藝節點的關鍵。即便是DUV(深紫外線光科技術)光刻機,也能滿足現階段不斷增長的8英寸晶圓的生產需要。 近日ASML執行長Peter Wennick接受了媒體的采訪,表示已為晶片短缺盡了最大的努力,但ASML光刻機的年產量仍落後於半導體製造商提出的采購要求。Peter Wennick估計,ASML每年的光刻機產量需要在目前基礎上提高50%才能滿足市場的需求,考慮到這種精密設備的復雜性,幾乎不可能做到。 ASML在2021年交付了286台光刻機,與2020年相比增加了50台,也就是說年產量提高了18%,但距離50%的目標還差很遠。事實上,這家總部位於荷蘭的半導體行業巨頭,未來兩年都很可能成為行業發展的瓶頸。 英特爾CEO帕特-基爾辛格(Pat Gelsinger)很清楚ASML要提高光刻機產量的難度,所以直接與Peter Wennick聯系,以求更准確地預留興建或擴建工廠的緩沖時間,以便於采購ASML的光刻機能夠在入駐工廠的時間安排上更加契合,能夠及時投產。為了減少中間過程浪費不必要的時間,其他半導體製造商投資擴建產能的時候,可能也會選擇先搭建好工廠的框架,然後等待生產設備入駐。 Peter Wennick表示,ASML正在與供應商合作,試圖進一步提高產量,然而能做的也就那麼多了。在ASML的700多家供應商中,有200家被認為是關鍵供應商,需要每一家供應商同時增加產量,ASML才有可能提高光刻機的產量。由於光刻機里面使用的某些材料和組件極其復雜,有些部分想提高產量可能需要幾年的時間才能實現。 其中一個例子是Carl Zeiss,這是ASML的其中一個重要的供應商,生產用於光刻機的鏡塊,負責的是ASML的機器中最復雜的工程部件之一。Carl Zeiss必須要增加生產量,ASML才有可能提高光刻機的製造規模。Carl Zeiss要擴大生產規模,首先要投資用於擴建生產設施和潔淨室,然後聘請專業的技術人員。即便做到了這些,復雜鏡塊模組的整個製造過程還需要長達一年左右的時間。 雖然現階段半導體的投資規模很大,行業發展非常紅火,但僅僅依靠資本並不能解決所有的問題。半導體設備製造商需要應對的是世界上最復雜、最全球化的供應鏈之一,而且還很容易受到國際形勢和各種爭端的影響。事實上,ASML及其供應商在不過度擴張的情況下,穩定地增加產量已經不容易了。 ...
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

半導體製造關鍵原料面臨斷供 光刻機一哥ASML急尋備胎

近期烏克蘭局勢不穩定,這也意外地加劇了全球半導體行業的緊張,因為該地區生產了全球70%的氖氣,這是半導體製造中的關鍵原料,用量不多但非常重要,以致於光刻機巨頭ASML都要尋找備胎,防止斷供。 當地時間周三,ASML公司CEO表示該公司正在為工廠使用的少量氖氣尋找其他來源。 該公司發言人也表示,盡管烏克蘭是世界上最大的氖氣生產國,但阿斯麥使用的氖氣中只有不到20%來自該國。 據了解,氖氣是惰性氣體中的一種,在半導體工藝中惰性氣體是一種重要材料,在DUV深紫外光光刻時代,產生DUV光源就需要多種惰性氣體,然後與鹵素分子混合,再使用電子束能量激發才能產生DUV波長的光,最後再用於晶片製造。 另外,來自EET-China的報導稱,中國特種氣體市場資深分析師任路則表示,雖然氖、氪、氙為半導體行業生產所必需,但是其絕對使用量並不高,作為鋼鐵行業的副產品,全球市場體量不是很大。 「中國是全球鋼鐵大國,對於這些稀有氣體的純化技術已經實現了突破,生產工藝也比較成熟,不再是能夠『卡中國脖子』的技術了。」 來源:快科技

英特爾訂購業界首個TWINSCAN EXE:5200系統,與ASML推進High-NA EUV技術

ASML宣布與英特爾的長期合作進入了新的階段,雙方將攜手推進半導體光刻前沿技術。目前英特爾已經向ASML發出第一份采購訂單,用於購買業界首個TWINSCAN EXE:5200系統。這是一種具有高數值孔徑(High-NA)和每小時生產超過200片晶圓的極紫外光(EUV)大批量生產系統,為雙方長期的High-NA EUV技術合作搭建框架。 ASML總裁兼首席技術官Martin van den表示,英特爾對ASML在High-NA EUV技術的遠見和早期承諾證明了對摩爾定律的不懈追求。與目前的EUV系統相比,ASML的擴展EUV路線圖以更低的成本、時間周期和架構等方面提供了持續的改進,這將是推動晶片行業未來十年發展的動力所在。 在去年七月份的「英特爾加速創新:製程工藝和封裝技術線上發布會」上,英特爾CEO帕特-基爾辛格(Pat Gelsinger)展示了一系列底層技術創新,這些技術將驅動英特爾到2025年乃至更遠未來的新產品開發。英特爾公布其近十多年來首個全新電晶體架構RibbonFET和業界首個全新的背面電能傳輸網絡PowerVia之外,還重點介紹了迅速採用下一代極紫外光刻(EUV)技術的計劃,表示將部署業界第一台High-NA EUV光刻機。 英特爾在2018年率先購買了早期的TWINSCAN EXE:5000系統,這次合作將延續英特爾從2025年使用High-NA EUV進行生產製造的道路。新的EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 ...

ASML更新柏林工廠火災評估報告,EUV組件生產受到影響

荷蘭公司ASML(阿斯麥)是全球最大的光刻機製造商,而光刻機是製造晶片的關鍵設備。ASML在45nm以下工藝製程的光刻機市場占據了85%的份額,在EUV(極紫外光刻技術)光刻機領域則處於絕對壟斷地位,市場占有率100%,是推進5nm/7nm製程節點的關鍵。 在2022年1月3日,ASML位於德國柏林的工廠內發生火災,大火在夜間被撲滅,其中部分設施受損。幸運的是,在這次事件中沒有人員傷亡。ASML在火災後表示,會花幾天的時間進行調查,並會做全面的評估。該工廠原屬於光學模組公司Berliner Glas,在2020年被ASML收購,負責為ASML的光刻系統製造組件,包括晶圓台和夾具、光罩卡盤和反射鏡塊。 近日,ASML對德國柏林工廠的情況進行了初步評估,且更新了相關信息。火災發生在工廠其中一棟生產大樓內,煙霧影響了臨近的大樓,目前在部分區域已恢復了生產。廠區內其他建築物沒有受到影響,仍然可以正常使用。ASML還介紹了對光刻機生產的影響,包括DUV(深紫外線光科技術)和EUV系統。 目前DUV光刻機的相關組件已重新啟動生產,盡管供應上出現暫時的中斷,但ASML希望可以盡最大的努力補救,以免影響DUV光刻機的生產和營收。至於EUV光刻機,火災影響了EUV系統中一個模塊晶圓夾具的部分生產區域,正按計劃恢復中,並確認如何將EUV客戶的影響降到最低,包括供應計劃和相關服務等。 ASML表示,2022年1月19日會公布2021年第四季度和全年業績,以及對2022年的初步展望,屆時會進一步提供柏林工廠火災事件的信息。 ...

ASML介紹新一代高NA EUV光刻機:晶片縮小1.7倍、密度增加2.9倍

按照業內預判,2025年前後半導體在微縮層面將進入埃米尺度(Å,angstrom,1埃 = 0.1納米),其中2025對應A14(14Å=1.4納米)。 除了新電晶體結構、2D材料,還有很關鍵的一環就是High NA(高數值孔徑)EUV光刻機。根據ASML(阿斯麥)透露的最新信息,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 Gartner分析師Alan Priestley稱,0.55NA下一代EUV光刻機單價將翻番到3億美元。 那麼這麼貴的機器,到底能實現什麼呢? ASML發言人向媒體介紹,更高的光刻解析度將允許晶片縮小1.7倍、同時密度增加2.9倍。未來比3nm更先進的工藝,將極度依賴高NA EUV光刻機。 當然,ASML並不能獨立做出高NA EUV光刻機,還需要德國蔡司以及日本光刻膠塗布等重要廠商的支持。 ASML現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 去年ASML僅僅賣了31台EUV光刻機,今年數量提升到超100台。 來源:快科技

獨占全球光刻機90% ASML一路狂奔 依然看不到任何對手

作為全球最大的半導體光刻系統供應商,ASML同時也是全球唯一的極紫外光刻 (EUV) 機供應商。EUV光刻系統也被認為是目前最先進的晶片製造工具之一,可以讓晶片製造商在7nm及以下先進位程晶片製造中占據優勢,並使得摩爾定律能夠得以延續。 ASML的壟斷地位 ASML是全球領先的半導體光刻系統供應商,每家主要半導體製造公司都有使用ASML的光刻機製造晶片。准確地說,ASML在半導體光刻市場擁有超過90%的市場份額,其餘玩家為佳能和尼康。 同時,ASML也是單價過億美元的EUV光刻機市場的壟斷者。 根據財報顯示,ASML 2021年三季度銷售額52.41億歐元,同比增長32.4%;淨利潤為17.40億歐元,同比增長63.8%。三季度新增訂單金額61.79億歐元,而在這61.79億歐元的新增訂單中,EUV光刻機的訂單金額占據了近一半,達到了29億歐元。 ASML也表示,三季度,EUV光刻機系統的出貨量和收入創下歷史新高。目前,ASML主力EUV光刻機是TWINSCAN NXE:3600D,其在客戶那里也達到了每小時加工160片晶圓的創紀錄效率。 另外,在DUV(深紫外)光刻機方面,ASML已經累計完成出貨1000台ArF系統(氟化氬浸沒式光刻系統)。 展望未來,ASML預計2021年有望實現約35%的增長,第四季度淨銷售額在49-52億歐元區間,毛利率為51%-52%;研發成本約為6.7億歐元,銷售和管理成本約為1.95億歐元。 相比之下,ASML在光刻領域的競爭對手,佳能和尼康的光刻機業務規模與ASML相去甚遠。 資料顯示,2020年全球光刻機總銷售量為413台。其中ASML銷售258台占比62%,佳能銷售122台占比30%,尼康銷售33台占比8%。按照銷售額來計算的話ASML的份額高達91%,佳能只有3%、尼康也僅有6%。 先進位程投資快速增長,EUV系統採用率持續上升 當前,隨著PC和智慧型手機產品的持續升級疊代,以及人工智慧、5G通信、自動駕駛、雲服務等需求的快速增長,全球對於先進位程晶片的需求正快速成長。台積電、三星、英特爾等頭部的先進位程晶片製造商之間的競爭也在加劇。 此前全球最大的晶圓代工廠台積電已投資120億美元開始在美國建設新的5nm晶圓廠。今年的資本支出也提高到了280億美元,並宣布在未來三年的資本支出將達到創紀錄的1000億美元。 台積電表示,這種資本支出並非針對當前晶片短缺,而是一項長期投資,旨在利用未來幾年對先進晶片的預期需求增長。 作為全球第二大半導體廠商,三星今年對於存儲與晶圓代工等相關事業的資本支出也將達到近300億美元。另外,三星還宣布將投資170億美元在美國德克薩斯州新建一座新的先進位程晶圓廠。 未來三年,三星計劃對其旗下三星電子公司和其他附屬企業投資高達240兆韓圓(相當於2050億美元),藉以鞏固未來的成長引擎,並擴大後疫情時代的科技領先地位。 處理器大廠英特爾今年開始也大幅加大了對於半導體製造的投資。在今年3月,英特爾新任CEO基辛格上台之後,就推出了IDM 2.0計劃,不僅加速自身更先進的半導體工藝製程的推進,同時還重啟了晶圓代工業務,並宣布投資200億美元在美國新建兩座晶圓廠。 今年9月,英特爾還宣布未來10年將在歐洲投資800億歐元,建至少兩座先進晶圓廠。 隨著台積電、三星、英特爾等頭部晶圓製造廠商對於先進位程工藝的追逐,對於EUV光刻機的需求更是快速上升。 例如,台積電今年已承諾資本支出 280 億美元,其中約 80% 將用於公司最先進的晶片製造工藝——7nm、5nm 和 3nm。而台積電第二代7nm及後續的5nm先進位程都是基於EUV工藝的。 作為全球第一家使用ASML EUV光刻機進行大批量生產的公司,台積電聲稱其擁有全球50%以上的EUV光刻系統安裝量和60%的累計EUV晶圓生產量。 Source: Anandtech 台積電計劃繼續保持在EUV光刻機數量上的領先地位,其已下訂單訂購的「至少」13台EUV光刻機將會在今年交付。隨著其積極的資本支出計劃,台積電似乎將繼續保持EUV產能領先地位,而其他晶片製造商也正努力迎頭趕上。 其中一個奮力追趕的是三星,該公司目前在EUV光刻機安裝量方面落後於台積電。據業內官員稱,三星只擁有台積電一半的數量。 目前三星正使用EUV光刻機來製造一些DRAM和7nm/5nm邏輯晶片。憑借其在代工廠上的 EUV 經驗,三星已於2020 年 8 月率先在 1z 節點上採用 EUV 進行DRAM生產。隨著三星在邏輯製程和DRAM製造中擴大EUV光刻機的使用,EUV光刻機的購買量在未來幾年將會持續增加。 英特爾也正在部署EUV系統用於其7nm節點生產晶片,預計將在未來幾年內進一步增加ASML的EUV光刻機訂單。 與此同時,DRAM巨頭SK Hynix和美光也計劃在量產中使用EUV光刻機。SK...
日本牽頭 2nm hCFET晶體管浮出水面

每小時曝光160片晶圓 ASML新款EUV光刻機創記錄:賣瘋了

今日晚間,ASML發布2021年第三季度財報,EUV光刻機的出貨量和營收都刷新紀錄。 財報顯示,ASML 2021年第三季度淨銷售額為52億歐元,淨利潤為17億歐元,毛利率達到51.7%,新增訂單金額62億歐元。 ASML 預計2021年第四季度營收約為49億~52億歐元,毛利率約51%~52%。 產品和業務摘要 : EUV(極紫外光)光刻業務:本季 EUV系統的出貨量和營收都刷新紀錄。最新款的NXE:3600D EUV 光刻系統在客戶的生產線上創下了每小時曝光160片晶圓的記錄。 DUV (深紫外光) 光刻業務 : 15年前 (2006年),ASML第一台支持晶片量產的浸潤式光刻系統上市。到本季,達成出貨1000台ArF浸潤式光刻系統的里程碑。 ASML總裁兼執行長 Peter Wennink 表示:「我們第三季的營收達到52億歐元,毛利率達到51.7%,皆符合預期。第三季的新增訂單金額達到62億歐元,其中29億歐元來自 EUV 系統訂單。客戶對於光刻系統的需求仍在高點,主因是數位化轉型和晶片短缺帶動市場對於記憶體和邏輯晶片的需求。」 「我們預期2021年第四季的營收約為49億歐元到52億歐元,毛利率約51%~52%,研發成本約6.7億歐元,銷售及管理費用約1.95億歐元,有望實現全年營收增長35%的目標。」Peter Wennink 說。 來源:快科技

ASML DUV光刻機有多快?加速度高達7g、12秒完成一整片晶圓

作為晶片生產過程中最關鍵裝備的光刻機,有著極高的技術壁壘,有「半導體工業皇冠上的明珠」之稱,代表著人類文明的智慧結晶。在在晶片這樣一個爭分奪秒的行業里,時間就是金錢。據ASML官方介紹,ASML也一直在追求光刻機極致的速度,目前最先進的DUV光刻機,每小時可以完成300片晶圓的光刻生產。 這是一個什麼概念呢? 我們來換算一下,完成一整片晶圓只需要12秒,這還得扣除掉晶圓交換和定位的時間,實際光刻時間要更短。 而一片晶圓的光刻過程,需要在晶圓上近100個不同的位置成像電路圖案,所以完成1個影像單元(Field)的曝光成像也就約0.1秒。 要實現這個成像速度,晶圓平台在以高達7g的加速度高速移動。7g加速度是什麼概念呢?F1賽車從0到100km/h加速約需要2.5秒,而晶圓平台的7g的加速度,若從0加速到100km/h只要約0.4秒。 DUV是深紫外線(Deep Ultraviolet Lithography),EUV是極深紫外線(Extreme Ultraviolet Lithography)。 從製程工藝來看,DUV只能用於生產7nm及以上製程晶片。而只有EUV能滿足7nm晶圓製造,並且還可以向5nm、3nm繼續延伸。 來源:cnBeta

ASML第一台全新EUV極紫外光刻機交付 售價高達1.45億美元

作為全球第一光刻機供應商,荷蘭ASML(阿斯麥)今天公布了2021年第二季度財報。當季,ASML淨銷售額40億歐元,毛利率50.9%,淨收入10億歐元,淨預訂額83億歐元,其中EUV極紫外光刻機就有49億歐元,而總的積壓訂單金額已達175億歐元。 ASML在財報中還披露,第一台全新TWINSCAN NXE:3600D EUV光刻機系統已經交付給客戶,相比之前的NXE:3400C生產力提高了15-20%,覆蓋率(套刻精度)提高了約30%。 不過,ASML未透露接收客戶是哪一家。 ASML還表示,正努力增加EUV光刻機在存儲行業的量產應用,計劃協助三個DRAM記憶體晶片客戶在未來的工藝節點中導入EUV。 ASML EUV光刻機目前還是第一代產品,EUV光源波長13.5nm左右,物鏡NA數值孔徑0.33,並發展了一系列型號。 最早量產出廠的是NXE:3400B,產能有限,晶圓產能只有125PWH,目前的出貨主力是NXE:3400C,產能提升到135WPH,而最新的NXE:3600D產能進一步提升到160WPH,價格據說也達到了1.45億美元(約合人民幣9.38億元)。 第二代EUV光刻機將會是NXE:5000系列,物鏡NA提升到0.55,進一步提高光刻精度,但原計劃2023年問世,現在推遲到2025-2026年,而價格預計將突破3億美元。 第三季度,ASML預計淨銷售額52-54億歐元,毛利率51-53%。 來源:cnBeta

越來越重要的ASML

一家荷蘭公司出售的大型機器已成為決策者的關鍵槓杆——這也從側面說明任何國家在半導體技術方面建立完全自給自足的供應鏈的希望是多麼不切實際。  該機器由位於維爾德霍芬的ASML Holding製造。 它的系統使用一種不同的光來定義晶片上的超小型電路,將更多的性能封裝到小片晶片中。該工具歷時數十年開發並於 2017 年投入量產,單機成本超過 1.5 億美元,將其運送給客戶需要 40 個貨櫃、20 輛卡車和三架波音 747。 這個復雜的機器被廣泛認為是製造最先進晶片所必需的,這種能力具有地緣政治影響。 喬治城大學安全與新興技術中心的研究分析師威爾亨特表示,如果沒有該系統,製造商就無法生產領先的晶片,「然而它只能由荷蘭公司 ASML 製造」。中國至少要十年才能建造自己的類似設備,威爾亨特補充說。 ASML 的機器已經有效地變成了晶片供應鏈中的一個瓶頸,而晶片充當了計算機和其他數字設備的大腦。該工具在三大洲的開發和生產——使用來自日本、美國和德國的專業知識和零件——這也提醒人們該供應鏈的全球化程度,為任何想要在半導體領域取得領先地位的國家提供現實檢驗本身。 不止中國,美國國會也正在討論支出超過 500 億美元以減少對外國晶片製造商依賴的計劃。聯邦政府的許多部門,尤其是五角大樓,一直擔心美國對台灣領先晶片製造商的依賴。 波士頓咨詢集團和半導體行業協會今年春天的一項研究估計,建立一個自給自足的晶片供應鏈至少需要 1 萬億美元,而且晶片和用它們製造的產品的價格會大幅上漲。 哈佛商學院研究供應鏈的管理學教授施威利說,這個目標對任何人來說都是「完全不現實的」。ASML 的技術「是您進行全球貿易的一個很好的例子。」 這種情況凸顯了 ASML 所扮演的關鍵角色,這家曾經默默無聞的公司現在市值超過 2850 億美元。Evercore ISI 的分析師...

ASML新一代EUV光刻機拒絕跳票:找日本巨頭技術馳援

日前傳出ASML新一代光刻機EXE:5000跳票到2025年之後的消息,但似乎荷蘭人已經找到幫手幫自己提速。日本最大半導體成膜、蝕刻設備公司東京電子(東京威力科創,Tokyo Electron)宣布,將在鍍膜/顯影技術上與ASML合作,以聯合推進其下一代NA EUV光刻機的研製,確保2023年投入運行。 據悉,參與該EUV光刻機研發的還有IMEC,即位於比利時的歐洲微電子中心。 東京電子表示,自旋金屬抗蝕劑已顯示出高解析度和高蝕刻電阻,並有望使圖案更加精細。然而,含有金屬的抗蝕劑也需要復雜的圖案尺寸控制以及對晶片背面/斜面金屬污染的較好控制。為了應對這些挑戰,塗層/開發人員正在聯合高NA實驗室安裝先進的工藝模塊,能夠處理含金屬的抗蝕劑。 有觀點將當前ASML已經出貨的NXE:3400B/3400C乃至年底的3600D稱作第一代EUV光刻機,依據是物鏡的NA(數值孔徑)為0.33,所謂下一代也就是第二代的NA提升到0.55。0.55NA比0.33NA有著太多優勢,包括更高的對比度、圖形曝光更低的成本、更高的生產效率等。 EXE:5000之後還有EXE:5200,它們將是2nm、1nm的主要依託。 來源:cnBeta

ASML開始供應透射率超過90%薄片的EUV系統

ASML 公司近日宣布將會自今年開始,提供透射率超過 90% 薄片的極紫外(EUV)系統。ASML 韓國市場經理 MyoungKuy Lee 在 SMC 韓國研討會上說,該公司將開始生產透射率超過 90.6% 的薄片。 Lee 表示通過和 Teradyne 公司的共同合作,這些薄片已經確保了 400 瓦的功率耐久性。這家位於荷蘭的工廠設備製造商在 2016 年首次開發了多晶矽 EUV 薄膜。當時,它的透光率為78%。它在 2018 年開發出的透光率約為 80%,另一種在...

全球晶片荒之下 光刻機巨頭ASML季盈利飆升近兩倍半

荷蘭光刻機巨頭ASML周三發布財報稱,第一季度淨盈利13.3億歐元,較上年同期的3.906億歐元飆升近2.5倍,因全球晶片荒之下其設備需求大幅增長。 淨營收增長近80%,從上年同期的24.4億歐元增至43.6億歐元。毛利率達到53.9%。 該公司上調了2021年營收預期,「目前預計全年營收增長將接近30%。」該公司此前預計2021年營收實現兩位數增長。 ASML還表示,預計將提前完成去年1月宣布的60億歐元股票回購計劃,因為目前強勁的現金流將使其在未來幾個季度能夠大量回購股票。 來源:cnBeta

ASML未來四代EUV光刻機進度披露:正向1nm邁進

日前,ASML產品營銷總監Mike Lercel向媒體分享了EUV(極紫外)光刻機的最新進展。ASML現在主力出貨的EUV光刻機分別是NXE:3400B和3400C,它們的數值孔徑(NA)均為0.33,日期更近的3400C目前的可用性已經達到90%左右。 資料圖 預計今年年底前,NXE:3600D將開始交付,30mJ/cm2下的晶圓通量是160片,比3400C提高了18%,機器匹配套准精度也增加了,它預計會是未來台積電、三星3nm製程的主要依託。 在3600D之後,ASML規劃的三代光刻機分別是NEXT、EXE:5000和EXE:5200,其中從EXE:5000開始,數值孔徑提高到0.55,但要等待2022年晚些時候發貨了。 由於光刻機從發貨到配置/培訓完成需要長達兩年時間,0.55NA的大規模應用要等到2025~2026年了,服務的應該是台積電2nm甚至1nm等工藝。 0.55NA比0.33NA有着太多優勢,包括更高的對比度、圖形曝光更低的成本、更高的生產效率等。 當然,硅片、曝光潔淨室逼近物理極限,也是不容小覷的挑戰。現今5nm/7nm光刻機已然需要10萬+零件、40個集裝箱,而1nm時代光刻機要比3nm還大一倍左右,可想而知了。 來源:cnBeta

歷經波折 上海新陽終於收到ASML-1400光刻機

3月8日,上海新陽半導體材料股份有限公司發布公告,披露了關於購買ASML-1400光刻機的最新進展。據介紹,上海新陽自立項開發193nmArF干法光刻膠的研發及產業化項目以來,安排購買了ASML-1400光刻機等核心設備,並於2020年12月14日披露,該光刻機將於2020年底前運抵國內。 不過此後,由於上海新陽與光刻機供應商、北方集成電路技術創新中心(畢竟)有限公司在溝通協調設備運輸與安裝等細節方面遇到波折,光刻機設備沒能在規定時間內運達。 隨後,雙方就具體合作細節簽署了《合作框架協議》,預計該光刻機將於2021年3月底前進入北方集成電路現場。 現經各方積極協商、運作,這台光刻機設備於今日已進入北方集成電路技術創新中心(北京)有限公司的場地,後續將進行安裝調試等相關工作。 上海新陽表示,采購的ASML干法光刻機設備順利交付,對加快193nm ArF干法光刻膠產品開發進度有積極影響,有利於進一步提升公司光刻膠產品的核心競爭力,加快落實公司發展戰略,提高公司抗風險能力和可持續發展能力。 不過,這台光刻機尚須經過裝機、調試等相關環節,如果出現工作疏漏或失誤,則存在造成光刻機投入使用過程較長,甚至無法投入使用的風險。 另外,光刻膠研發項目技術壁壘高、周期長,投入產業化並最終實現銷售利潤,仍需一定時間,而且價格昂貴,其折舊及後續維護費用預計對公司的經營業績存在一定影響。 來源:cnBeta
ASML稱從2018年至今已經生產了250萬張EUV晶圓:最新機器每小時可生產170張

ASML稱從2018年至今已經生產了250萬張EUV晶圓:最新機器每小時可生產170張

在剛剛過去的IEDM 2019大會上面,ASML這家目前全球最大的EUV光刻機生產商給出了一個數據:從2018年1月份以來,EUV光刻系統生產的晶圓數量已經超過2011年至2017年的總和,達到250萬片,而從圖上可以看到,從2013開始總共已經生產了多達450萬張EUV晶圓。 圖片來自於AnandTech,下同 使用EUV光刻系統生產的晶圓數量從2018年開始猛增,其中的一大原因就是ASML的EUV光刻系統開始出貨,而在2019年我們看到台積電和三星這兩家的EUV生產工藝達到了成熟可用的地步,並且投入了正式的生產,比如麒麟990 5G使用的就是台積電的7nm EUV製程,而三星那邊則是有Exynos 9825,而明年則是會有更多的晶片選擇使用EUV工藝來製造,比如AMD的Zen 3、高通的5G晶片組等。而Intel那邊也是要在7nm節點引入EUV技術進行生產,所以未來使用EUV製程的晶圓數量只會越來越多。 根據目前的數據,截至2019年第二季度,ASML的NXE:3400B系統已經在全球的晶圓生產工廠中安裝了38套,而更新的NXE:3400C則是可以達到更高的產能,每小時最高可達170張晶圓。 未來ASML將推出接替NXE系列的EXE:5000系列光刻機,它將會幫助半導體生產商繼續攀爬工藝,計劃將於2023年推向市場,屆時台積電的3nm和Intel的5nm技術可能都將借力於新款的光刻機。 ...

Intel線路圖顯示他們想恢復兩年升級一次工藝,2029年有1.4nm(有更新)

更新: Intel現在對此進行了回應,說著張幻燈片並非官方公布的,其實ASML CEO更改了Intel今年9月份一次會議上幻燈片,實際原本展示過的圖中沒有公布各個時間的製程節點數字,造成誤解,原圖如下: Intel曾經提出過經典的Tick-Tock規則,架構和工藝每隔兩年交替升級一次,但是工藝的升級到了14nm就卡住了,14nm當年也延誤了不少,到了10nm更是栽了個大跟鬥,導致台積電直接追了上來,但現在他們嘗試恢復兩年升級一次工藝的節奏,2021年會推出7nm,而之後則是5nm、3nm、2nm和1.4nm。 來自wikichip的消息,在IEEE國際電子設備會議上,ASML執行長Martin van den Brink的演講中有一頁Intel未來工藝線路圖,它顯示了Intel今年有10nm,2021年的7nm和2023年5nm的發展和定義,到了2025年還有3nm,2027年會進化到2nm,而2029年,則會有1.4nm。 而每個節點之間,將會有工藝的改良版本+和++,這樣可以更好的發掘之前工藝節點的潛力,唯一例外的是現在的10nm,因為現在的10nm工藝准確來說應該是10nm+,最初期的10nm是那個只有少量出貨的Cannon Lake,明年會有10nm++,而2021年則是10nm+++,同年Intel也會推出7nm EUV工藝。 而且這張幻燈片上也有提到反向移植,他們設計晶片時會同時兼容新和舊兩種工藝,如果新工藝進展順利的話就直接使用新工藝生產,如果進展不順利的話只需要較少的時間使用交舊工藝的++版本生產新架構的處理器,這應該是Intel這幾年的慘痛經歷得出的教訓,Intel允許任何第一代7nm設計都可以反向移植到10nm+++,5nm的設計可以反向移植到7nm++,3nm可移植到5nm++等等。 目前已經確定Intel的下一個工藝節點7nm會使用EUV工藝,而去年的架構日上,Raja Koduri也透露過未來的5nm可能會從現有的FinFET技術轉移到全能門GAA技術,而且可能使用高NA EUV工藝,2023年也和ASML銷售高NA EUV光刻機的時間重疊,至於更先進的3nm、2nm和1.4nm工藝,Intel目前還處於尋路模式,和以往一樣Intel在尋找新材料、新電晶體設計等,現在談論他們還太早。 ...

ASML發布Q1季度財報:營收22.3億歐元,EUV光刻機下半年產能大增 …

荷蘭ASML公司今天發布了2019年Q1季度財報,當季營收22.3億歐元,毛利率41.6%,淨利潤3.55億歐元。Q1季度中ASML公司出貨了4台EUV光刻機,比前一個季度少了1台,不過好消息是ASML下半年會推出新一代EUV光刻機NXE:3400C,這款光刻機的產能將從每小時125片晶圓提升到170片每小時,意味著半導體製造廠的EUV產能會大幅增加,這對三星、台積電來說是個好消息。 根據ASML的財報,Q1季度該公司營收22.89億歐元,其中服務費用5.4億歐元,設備淨銷售額16.89億歐元,EUV光刻機營收占了22%,當季出貨4台EUV光刻機,而2018年Q4季度出貨了5台EUV光刻機,畢竟Q1季度是淡季,而且各大廠商今年也放緩了半導體投資步伐。 目前ASML出貨的EUV光刻機為NXE:3400B型號,每小時處理器的晶圓數不低於125片(125 wph),而今年下半年他們將會推出NXE:3400C光刻機,每小時處理器的晶圓數不低於170片(170 wph)。我們之前介紹過,光刻處理是半導體晶片製造工藝中最復雜的一步,耗時最多、同時也是成本最高的一個過程,占了整個晶片製造成本的1/3左右,所以光刻處理的效率極大地影響著半導體晶片的產能及成本。 從125 wph到170 wph,產能將會提升36%,也就是說一下子就提升了三分之一的產能,這對今年內量產7nm EUV工藝的台積電、三星來說是個重大利好。 ...

ASML發佈Q1季度財報:營收22.3億歐元,EUV光刻機下半年產能大增 …

荷蘭ASML公司今天發佈了2019年Q1季度財報,當季營收22.3億歐元,毛利率41.6%,淨利潤3.55億歐元。Q1季度中ASML公司出貨了4台EUV光刻機,比前一個季度少了1台,不過好消息是ASML下半年會推出新一代EUV光刻機NXE:3400C,這款光刻機的產能將從每小時125片晶圓提升到170片每小時,意味着半導體製造廠的EUV產能會大幅增加,這對三星、台積電來說是個好消息。 根據ASML的財報,Q1季度該公司營收22.89億歐元,其中服務費用5.4億歐元,設備淨銷售額16.89億歐元,EUV光刻機營收占了22%,當季出貨4台EUV光刻機,而2018年Q4季度出貨了5台EUV光刻機,畢竟Q1季度是淡季,而且各大廠商今年也放緩了半導體投資步伐。 目前ASML出貨的EUV光刻機為NXE:3400B型號,每小時處理器的晶圓數不低於125片(125 wph),而今年下半年他們將會推出NXE:3400C光刻機,每小時處理器的晶圓數不低於170片(170 wph)。我們之前介紹過,光刻處理是半導體芯片製造工藝中最復雜的一步,耗時最多、同時也是成本最高的一個過程,占了整個芯片製造成本的1/3左右,所以光刻處理的效率極大地影響着半導體芯片的產能及成本。 從125 wph到170 wph,產能將會提升36%,也就是說一下子就提升了三分之一的產能,這對今年內量產7nm EUV工藝的台積電、三星來說是個重大利好。 來源:超能網

ASML回應中國員工竊密:有其他國家人員參與,反對貼標簽

荷蘭ASML公司是全球知名的光刻機公司,EUV光刻機領域還是唯一的供應商,7nm節點及之後的工藝中,不論英特爾還是台積電、三星都要依賴ASML的EUV光刻機。光刻機是半導體製造中的核心技術之一,自然容易成為其他公司覬覦的目標。昨天荷蘭報紙炒作多年前的一起舊案,稱6名中國員工竊取了ASML的商業機密,暗示此事有國家行為。不過對他們的報導,ASML並不領情,該公司發公告稱這起竊密案還有其他國家人員參與,他們反對貼國家標簽的行為,並對中歐之間日前達成的保護知識產權協議感到鼓舞。 荷蘭Financieele Dagblad報紙週四發表了一篇文章,稱6名中國員工竊取了ASML公司的商業機密,並將機密出售給XTAL公司,而XTAL母公司是中國的東方晶源,文章提到這家公司背後有中國政府的支持。這起案件去年被法院判決,裁定XTAL公司要向ASML公司支付2.23億美元的賠償金。 荷蘭媒體炒作ASML泄密案而且將案件與中國聯繫起來,不過ASML公司對這個報導並不贊成,不認為他們成為中國間諜的受害者,該公司在官網發表公告,詳細介紹了案件的由來及他們的態度。 ASML表示竊密案發生在數年前(具體來說是2015年),是ASML美國分部的部分員工所為,他們竊取了ASML用於優化流程的軟件機密,目的是創造一個新的競爭產品並出售給ASML公司的韓國客戶。ASML稱XTAL公司的主要資金來自中國及韓國,2016年發現此事之後他們迅速行動,採取法律行動保護自己,美國聖克拉拉法院判決XTAL公司賠償2.23億美元,但XTAL已經破產,不確定這些賠償能籌集到什麼程度。 ASML對自己成為企業竊密的受害者感到遺憾,他們在發現問題之後果斷採取行動,雖然企業竊密一直存在於各個行業,並且永遠是一種風險,但ASML近年來大幅增加了保護自己資產的努力。 ASML表示他們反感任何因為此事而認為ASML在中國區的業務會受到影響的猜測,竊密案中有些人恰好是中國人,但也有其他國家的人員參與其中。 ASML表態會謹慎地保護自家的知識產權,對信息安全非常敏感,該公司相信他們可以為包括中國公司在內的所有客戶提供服務,並幫助他們建立企業業務。 此外,ASML還提到了中歐之間近期達成了有關保護非中國公司知識產權的協議,包括採取有效的法律行動,他們對此感到鼓舞。 來源:超能網