Home Tags High-NA EUV

Tag: High-NA EUV

ASML稱High-NA EUV光刻機已印刷首批圖案,並向新客戶交付第二台同類設備

近日ASML(阿斯麥)表示,本月將向第二位客戶交付High-NA EUV光刻機,安裝工作也即將開始。不過ASML並沒有透露,具體交付給哪一家公司。其提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 ASML還宣布,已經在其位於荷蘭費爾德霍芬的High-NA實驗室首次使用High-NA EUV光刻機印刷出10nm線寬(dense line)圖案。這是迄今為止列印出的最精細的線條,創下了EUV光刻設備新的世界紀錄。這是世界上目前僅有的兩套High-NA EUV光刻系統之一,另外一套剛剛在英特爾的Fab D1X晶圓廠完成組裝工作,正在進行校準步驟。 該演示驗證了ASML合作夥伴蔡司的創新型High-NA EUV光學設計,在光學系統、傳感器和平台完成粗調校準後,列印出突破性的圖案。這是以全規格運行的第一步,接下來將致力於讓系統達到最佳性能表現,並最終在實際生產環境中復制這一成果。 雖然ASML的客戶並不著急使用High-NA EUV光刻機進行大規模生產,但都准備在未來某個時間點引入新的製造工藝,這也是ASML對High-NA EUV光刻機的銷售前景感到樂觀的原因之一。此前有報導稱,一台High-NA EUV光刻機的價格大概為3.8億美元,是EUV(約1.83億美元)的兩倍多,ASML目前收到的訂單數量在10至20台之間。 ...

英特爾宣布完成業界首台High-NA EUV光刻機組裝工作,目前正在進行校準步驟

英特爾晶圓代工(Intel Foundry)宣布,在先進半導體製造領域取得了一個關鍵的里程碑,已在美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地完成了業界首台High-NA EUV光刻機組裝工作。目前英特爾正在Fab D1X進行校準步驟,為未來工藝路線圖的生產做好准備。 去年末,ASML向英特爾交付了首台High-NA EUV光刻機,型號為TWINSCAN EXE:5000的系統。英特爾將其作為試驗機,可以更好地了解High-NA EUV設備的使用,獲得寶貴的經驗。High-NA EUV光刻機將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 英特爾院士兼英特爾晶圓代工邏輯技術開發光刻、硬體和解決方案總監Mark Phillips表示,隨著High-NA EUV的加入,英特爾將擁有業界最全面的光刻工具箱,使其能夠推動Intel 18A以外的未來製程工藝進入本十年(2021年至2030年)的後半段。 新工具能夠通過改變將列印圖像投射到矽晶圓上的光學設計,顯著提高下一代處理器的解析度和功能縮放。當與英特爾晶圓代工的其他工藝技術功相結合時,High-NA EUV有望列印現有EUV工具1.7倍一維密度的功能。這意味著在二維特徵縮放上,可實現1.9倍的密度提升。 High-NA EUV光刻技術將在先進晶片開發和下一代處理器的生產中發揮關鍵作用,英特爾打算在Intel 14A工藝引入,最快會在2026年到來。在此之前,英特爾將繼續優化先進的工藝技術,以進一步提高性能和成本效益。 ...

High-NA EUV光刻機價值3.8億美元,ASML已收到10至20台訂單

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機。新設備的體積非常巨大,需要使用13個貨櫃和250個板條箱來進行運輸,將從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,另外還需要250名工程師並花費6個月完成安裝。 據相關媒體報導,ASML透露其一台High-NA EUV光刻機的價格大概為3.8億美元,是現有EUV光刻機(約1.83億美元)的兩倍多。目前ASML已從英特爾和SK海力士等公司獲得了High-NA EUV光刻機的訂單,數量在10至20台之間。與此同時,ASML計劃到2028年,每年生產20台High-NA EUV光刻機,以滿足市場的需求。 High-NA EUV光刻機是具有高數值孔徑和每小時生產超過200片晶圓的極紫外光大批量生產系統,用於製造3nm以下的晶片。其提供了0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 由於新一代光刻設備與舊款產品之間有許多不同之處,需要進行大量的基礎設施改造。英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味著大概在2026年至2027年之間開始啟用新設備。台積電(TSMC)要等到1nm級的A10工藝才會使用High-NA EUV光刻機,可能是出於對成本的考慮,也就是說要等到2030年左右。 ...

台積電或2030年才採用High-NA EUV光刻機,用於製造1nm晶片

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機。這是具有高數值孔徑(High-NA)和每小時生產超過200片晶圓的極紫外光(EUV)大批量生產系統,提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味著大概在2026年至2027年之間開始啟用新設備。事實上,台積電(TSMC)和三星都已表示會采購High-NA EUV光刻機,用於研發未來新的半導體工藝,不過沒有設定任何的時間表。 據DigiTimes報導,來自於晶圓廠工具製造商的消息證實,台積電要等到1nm製程節點才會使用High-NA EUV光刻機,可能是出於對成本的考慮。根據台積電之前公布的路線圖,1.4nm級A14工藝的推出時間大概在2027年至2028年之間,而1nm級A10工藝的開發預計會在2030年前完成。 此前ASML首次財務官Roger Dassen在接受采訪時表示,High-NA EUV光刻機可以避免製造上雙重或四重曝光帶來的復雜性,在邏輯和存儲晶片方面是最具成本效益的解決方案。顯然並不是所有的晶片製造商都像英特爾那樣急於將High-NA EUV光刻機用於晶片的量產,表面上可以降低總體成本,但畢竟現有的EUV光刻機也可以雙重成像技術實現相同的效果。 由於計劃會根據現有技術的表現以及其他市場因素而改變,所以台積電最後也可能會改變引入High-NA EUV光刻技術的時間點。 ...

ASML回擊High-NA光刻機過於昂貴的指責,稱新技術是最具成本效益的解決方案

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機,從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,並在接下來的幾個月內完成安裝。據了解,每台High-NA EUV光刻機的成本約在3到4億美元。 近日SemiAnalysis的分析師表示,半導體製造商使用ASML新一代High-NA EUV光刻機在財務上意義不大。對於這種說法,ASML毫無疑問不會同意的,在接受Bits and Chips采訪時,ASML首次財務官Roger Dassen對於SemiAnalysis的進行了回擊。 Roger Dassen認為SemiAnalysis低估了High-NA EUV光刻機的好處,可以避免製造上雙重或四重曝光帶來的復雜性,只需要向英特爾了解一下就能明白了,稱新技術在邏輯和存儲晶片方面是最具成本效益的解決方案。從這點可以理解,錯過了EUV機遇的英特爾,為什麼最早下單High-NA EUV光刻設備。 具有高數值孔徑的新型High-NA EUV系統可提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵,同時每小時能生產超過200片晶圓。晶圓代工廠顯然了解使用High-NA EUV光刻機的利弊,ASML表示客戶已在2024年至2025年開始研發工作,並在2025年至2026年間進入大規模生產階段。 ...

ASML向英特爾交付首台High-NA光刻機,售價可能達到4億美元

2022年初,ASML宣布與英特爾的長期合作進入了新的階段,雙方將攜手推進半導體光刻前沿技術。英特爾也向ASML發出了購買業界首個TWINSCAN EXE:5200系統的訂單,這是具有高數值孔徑(High-NA)和每小時生產超過200片晶圓的極紫外光(EUV)大批量生產系統,為雙方長期的High-NA EUV技術合作搭建框架。 據TomsHardware報導,ASML已向英特爾交付業界首台High-NA EUV光刻機。本周開始,新設備將從荷蘭的費爾德霍芬運送到美國俄勒岡州希爾斯伯勒的英特爾半導體技術研發基地,並在未來幾個月內完成安裝。High-NA EUV光刻機的體積非常巨大,需要使用13個貨櫃和250個板條箱來進行運輸。據了解,每台High-NA EUV光刻機的成本約在3到4億美元。 英特爾在2018年向ASML購入了TWINSCAN EXE:5000系統,可以作為試驗機,更好地了解High-NA EUV設備的使用,獲得寶貴經驗。Intel 18A工藝計劃在2025年量產,英特爾將會引入High-NA EUV光刻技術,這將領先於競爭對手台積電(TSMC)和三星。High-NA EUV光刻機將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 由於新一代光刻設備與舊款產品之間有許多不同之處,需要進行大量的基礎設施改造,提前部署可能會讓英特爾獲得競爭優勢。一方面能有更多的技術調整時間,另一方面有更充裕的時間進行基礎設施改造,以更好地適配High-NA EUV光刻機使用。 ...

三星與ASML達成協議:獲得High-NA EUV光刻設備技術的優先權

近年來,ASML站到了世界半導體技術的中心位置。目前ASML有序地執行其路線圖,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,預計會在未來幾個月內交付。 數周前,三星電子會長前往荷蘭,與ASML討論了幾項半導體業務。據Sammobile報導,三星已在上周與ASML簽署了一項價值1萬億韓元(約合7.7億美元/人民幣54.9億元)的協議,雙方將在韓國京畿道東灘投資建設半導體晶片研究設施,並在那裡共同努力改進EUV光刻製造技術。 這次三星獲得了High-NA EUV光刻設備技術的優先權,有助於確保購入下一代High-NA EUV光刻設備,為其DRAM存儲晶片和邏輯晶片的生產創造出優化High-NA EUV技術使用的機會。除了確保2nm晶片製造設備進入韓國,三星更看重的是與ASML建立的合作夥伴關系,以便更好地利用下一代光刻設備。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵,同時每小時能生產超過200片晶圓。此前英特爾已宣布購買業界首個TWINSCAN EXE:5200系統,計劃從2025年使用High-NA EUV進行生產。 據了解,ASML計劃明年生產10台High-NA EUV系統,其中英特爾已購入了6台。有消息稱,台積電計劃在2024年引入High-NA EUV系統,為2025年末2nm工藝進入大批量生產做好准備。ASML打算未來幾年內,將High-NA EUV系統的年產量提升至20台。 ...

英特爾計劃開發14A和10A工藝:將使用High-NA EUV光刻技術

2021年7月的「英特爾加速創新:製程工藝和封裝技術線上發布會」上,英特爾CEO帕特-基爾辛格(Pat Gelsinger)展示了一系列底層技術創新。按照英特爾的計劃,至2025年將發布Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A工藝,其中Intel 7已應用在Alder lake和Raptor Lake上。 Intel 4就是最初的7nm工藝,該製程節點採用EUV光刻技術,可使用超短波長的光,刻印極微小的圖樣,每瓦性能約20%的提升以及晶片面積的改進,可應用下一代Foveros和EMIB封裝技術,將在Meteor Lake和Granite Rapids中亮相,預計2022年下半年投產,相關產品會在2023年出貨。Intel 3憑借對FinFET的進一步優化和在更多工序中增加對EUV使用,相比Intel 4在每瓦性能上實現約18%的提升,將在2023年下半年做好生產准備。 到了Intel 20A和Intel 18A工藝,將憑借RibbonFET和PowerVia兩大突破性技術開啟埃米時代。其中RibbonFET是對Gate All Around電晶體的實現,將成為英特爾自2011年推出FinFET以來的首個全新電晶體架構。該技術加快了電晶體開關速度,同時實現與多鰭結構相同的驅動電流,但占用的空間更小。PowerVia是英特爾獨有的、業界首個背面電能傳輸網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。 據Wccftech報導,IMEC公布的信息顯示,英特爾在Intel 18A工藝之後是Intel 14A工藝,預計會在2026年出現,之後是Intel 10A工藝,時間點為2028年。三星在去年的「Samsung Foundry Forum...

ASML宣布DUV和EUV光刻設備擴產,同時上調營收目標並推出新的股票回購計劃

近日ASML(阿斯麥)在投資者日會議上,執行長Peter Wennick和執行副總裁兼財務長Roger Dassen介紹了ASML的長期戰略、大趨勢、市場需求、產能計劃和商業模式,以支持公司的未來增長。其中很重要的一點是,ASML計劃調整其生產能力,以滿足未來的需求,為周期性做好准備,同時與所有利益相關者公平分擔風險和回報。 根據ASML的新計劃,2025年至2026年的年產能將提高到90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。在2022年第三季度中,ASML已收到了TWINSCAN EXE:5200系統的新訂單,來自於當前EUV光刻系統的客戶,而首個購入High-NA EUV系統的客戶是英特爾,預計2025年開始投入運營。 ASML還基於不同的市場情景,預計會有巨大的增長機會,以實現以下目標: 2025年 - 年收入約300億歐元至400億歐元之間,毛利率約54%至56%之間。 2030年 - 年收入約440億歐元至600億歐元之間,毛利率約56%至60%之間。 ASML不但上調了營收目標,而且還打算通過增加股息和股票回購的組合,繼續向股東返還大量現金。為此ASML宣布了一項新的股票回購計劃,自2022年11月11日起生效,將於2025年12月31日前執行,計劃回購不超過120億歐元的股票,其中總計最多200萬股將用於支付員工股票計劃。 在一系列好消息的刺激下,近期ASML股價上漲,也帶動了其他半導體設備股的股價。 ...

ASML首席技術官認為當前光刻技術或走到盡頭,High-NA EUV可能成為終點

近年來,ASML站到了世界半導體技術的中心位置。去年ASML兩次提高了生產目標,希望到2025年,其年出貨量能達到約600台DUV(深紫外光)光刻機以及90台EUV(極紫外光)光刻機。由於持續的晶片短缺,交付問題每天都在發生,而且ASML還遇到了柏林工廠火災這樣的意外。 日前,ASML的首席技術官Martin van den Brink接受了Bits & Chips的采訪。 據Martin van den Brink介紹,開發High-NA EUV技術的最大挑戰是為EUV光學器件構建計量工具,配備的反射鏡尺寸為此前產品的兩倍,同時需要將其平整度控制在20皮米內。這種需要在一個「可以容納半個公司」的真空容器中進行驗證,其位於蔡司公司,這是ASML推進High-NA EUV技術的關鍵光學合作夥伴,是後來加入的。 目前ASML有序地執行其路線圖,且進展順利,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,大概會在明年某個時間點完成。雖然供應鏈問題仍可能打亂ASML的時間表,不過應該問題不大。High-NA EUV光刻機會比現有的EUV光刻機更為耗電,從1.5兆瓦增加到2兆瓦。主要原因是因為光源,High-NA使用了相同的光源需要額外0.5兆瓦,ASML還使用水冷銅線為其供電。 外界還想知道,High-NA EUV技術之後的繼任者。ASML技術副總裁Jos Benschop在去年SPIE高級光刻會議上透露了可能的替代方案,即降低波長。不過這種方案需要解決一些問題,因為EUV反射鏡反射光的效率很大程度上取決於入射角,而波長的降低會改變角度范圍,使得透鏡必須變得太大而無法補償,這種現象也會隨著數值孔徑的增加而出現。 Martin van den Brink證實,ASML正在對此進行研究,不過個人而言,懷疑Hyper-NA將是最後一個NA,而且不一定能真正投入生產,這意味經過數十年的光刻技術創新,我們可能會走到當前半導體光刻技術之路的盡頭。ASML進行Hyper-NA研究計劃的主要目標是提出智能解決方案,使技術在成本和可製造性方面保持可控。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。到了hyper-NA系統,會高於0.7,甚至達到0.75,理論上是可以做到的。 Martin van den Brink不希望製造更為龐大的「怪物」,預計hyper-NA可能是接下來半導體光刻技術發展會出現問題的地方,其製造和使用成本都會高得驚人。如果採用Hyper-NA技術的製造成本增長速度和目前High-NA EUV技術一樣,那麼經濟層面幾乎是不可行的。就目前而言,Martin...