Home Tags 台積電

Tag: 台積電

台積電或2030年才採用High-NA EUV光刻機,用於製造1nm晶片

去年末,ASML向英特爾交付了業界首台High-NA EUV光刻機。這是具有高數值孔徑(High-NA)和每小時生產超過200片晶圓的極紫外光(EUV)大批量生產系統,提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。 英特爾打算在Intel 18A製程節點引入High-NA EUV光刻技術,這意味著大概在2026年至2027年之間開始啟用新設備。事實上,台積電(TSMC)和三星都已表示會采購High-NA EUV光刻機,用於研發未來新的半導體工藝,不過沒有設定任何的時間表。 據DigiTimes報導,來自於晶圓廠工具製造商的消息證實,台積電要等到1nm製程節點才會使用High-NA EUV光刻機,可能是出於對成本的考慮。根據台積電之前公布的路線圖,1.4nm級A14工藝的推出時間大概在2027年至2028年之間,而1nm級A10工藝的開發預計會在2030年前完成。 此前ASML首次財務官Roger Dassen在接受采訪時表示,High-NA EUV光刻機可以避免製造上雙重或四重曝光帶來的復雜性,在邏輯和存儲晶片方面是最具成本效益的解決方案。顯然並不是所有的晶片製造商都像英特爾那樣急於將High-NA EUV光刻機用於晶片的量產,表面上可以降低總體成本,但畢竟現有的EUV光刻機也可以雙重成像技術實現相同的效果。 由於計劃會根據現有技術的表現以及其他市場因素而改變,所以台積電最後也可能會改變引入High-NA EUV光刻技術的時間點。 ...

傳性能暴漲超50% Intel未來處理器要用台積電2nm

快科技1月29日消息,Intel這幾年大力推進IDM 2.0戰略,一方面開放對外代工,一方面尋求外部代工,更加靈活,Meteor Lake就是個開始,未來還會更進一步。 據媒體報導,台積電的第一批2nm工藝晶片預計2025年投產,蘋果、Intel等巨頭都非常感興趣,其中蘋果A系列處理器必然會鎖定相當大一部分訂單。 Intel則計劃在未來的Nova Lake處理器上導入台積電2nm,當然不是完全使用這一種工藝,而是用它製造某些模塊,最大可能就是GPU核顯模塊。 Nova Lake發布之前,我們還會陸續看到Arrow Lake、Lunar Lake、Panther Lake,所以為時尚早,目測估計至少也要到2026年。 權威軟體HWiNFO已經開始初步支持Nova Lake,據說它會升級源自第四代架構Druid的低功耗版本。 更有說法稱,Nova Lake會擁有Intel歷史上最大幅度的CPU架構升級,甚至比當年的酷睿誕生還要生猛,性能可比Lunar Lake提升超過50%! 是不是真的,走著瞧吧。 來源:快科技

台積電今年CoWoS封裝產能將翻倍,AI伺服器帶動業績增長

過去的幾個月里,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產能變得緊張,不得不緊急擴大2.5D封裝產能,以滿足不斷增長的需求。 據DigiTimes報導,台積電全力以赴應對CoWoS封裝產能的高需求,計劃今年將產能翻倍。台積電非常看好包括人工智慧和高性能計算在內的強勁晶片需求,表示「幾乎所有AI業者都在與台積電合作」。有業內人士稱,來自英偉達、AMD等客戶的「超級急件」只增不減,其中英偉達占據了近一半的訂單,雖然H100的交貨期已經縮短,但是仍長達10個月,可見需求仍然處於高位。事實上,今年人工智慧伺服器的出貨速度明顯加快,也帶動了相關企業的營收增長。 根據推算,至2024年底,台積電CoWoS封裝產能至少達到每月32000片,2025年底再提高至每月44000片。去年末有報導稱,CoWoS封裝產能已提高至每月15000片左右,英偉達占用了其中40%的部分,而AMD則占據了8%,而到2024年上半年,台積電會將CoWoS封裝產能提高至每月20000片。 目前晶圓代工廠越來越注重封裝方面的投入,除了台積電外,三星和英特爾都加大了先進封裝技術和產能的投資,先進封裝已成為了左右未來半導體競爭勝負的關鍵。 ...

蘋果將成為台積電2nm首批客戶,預計會在iPhone 17系列首發

去年蘋果發布了多款3nm晶片,比如M3系列,也帶動了台積電(TSMC)3nm產能的拉升,獲得的巨大收益也很快便反映到台積電的季度財報上。為了進一步提高iPhone和Mac的計算和圖形性能,蘋果已開始了下一代晶片的研發工作。 據DigiTimes報導,蘋果將成為台積電2nm工藝的首批客戶,後者將會為iPhone、Mac、iPad和其他設備生產2nm晶片,預計2025年下半年量產。據了解,為了更好地做好相關的准備工作,台積電正在為蘋果准備了另一條VVIP通道。 台積電在2nm製程節點將首度使用Gate-all-around FETs(GAAFET)電晶體,同時製造過程仍依賴於極紫外(EUV)光刻技術。有業內人士預計,蘋果最先採用2nm工藝的晶片將用在iPhone 17系列上,隨後再擴展到M系列晶片。目前台積電還在進行1.4nm製程節點的研發工作,對應工藝的正式名稱為「A14」,預計會在2027年至2028年之間量產,蘋果很可能也是首批客戶。 去年有報導稱,台積電為了站穩先進位程的領先位置,內部已組建了名為「One Team」的團隊,沖刺2nm製程節點的開發、試產和量產等工作,包括推動同步試產及2025年的量產。團隊里除了研發人員,還有前期負責生產的晶圓廠工程師。隨後台積電官方確認成立「One Team」的團隊,不過沒有透露具體的在編人員數量和執行項目情況。 ...

台積電推出限定版脆條,引來搶購價格飆升20倍

台灣知名零售品牌乖乖的綠色包裝「奶油椰子口味」玉米脆條是當地的都市傳說之一,傳聞只要放在機器上,就能起到安撫的效果,機器運轉就會變得順利。最近台積電(TSMC)就與乖乖合作,推出了限定版「綠色金順乖乖」,並從1月18日開始在台積電各廠區的7-11便利店開賣。 據相關媒體報導,這次限定版「綠色金順乖乖」在各個銷售點數量有限,而且每人限購2包,每包新台幣48元,價格甚至低於一般市面上銷售的版本,吸引了許多台積電的員工搶購。往年台積電其實都有推出這種限定版零食,而2024年的綠色金順則以晶圓為主題。 限定版「綠色金順乖乖」開售後便掀起了搶購潮,也有人將這些限定版「綠色金順乖乖」放到網絡二手交易平台上拍賣轉手,價格從新台幣100元至500元不等,漲幅比台積電股價還高,有台積電員工笑稱「投資回報率驚人」。 雖然價格推得很高,但是實際交易記錄卻不多,更多屬於有價無市的狀態。一方面數量有限,另一方面主要還是零食屬於收藏價值較低的物品。相比之下,此前台積電與星巴克聯手推出的「電路板」TSMC 35周年馬克杯,在收藏方面的價值會更高一些。 ...

台積電為1nm工藝做准備:計劃建造一座尖端晶圓廠,總開發成本超320億美元

去年末,台積電(TSMC)在IEEE國際電子元件會議(IEDM 2023)上透露,其1.4nm製程節點的研發工作已全面展開,進展順利。這是台積電首次對外披露其1.4nm製程節點的開發情況,對應工藝的正式名稱為「A14」,至於工藝的具體規格和量產時間,暫時還不清楚。 台積電的2nm工藝計劃在明年末量產,1.4nm工藝的推出時間大概在2027年至2028年之間。不過據UDN的最新報導,台積電已經在為更遙遠的1nm工藝生產做規劃,將是首家准備1nm工藝的代工廠,這讓半導體競爭變得更加激烈、有趣。 此前台積電在IEDM 2023上分享了部分信息,1nm工藝大概要等到2030年,正式名稱為「A10」。隨著包括CoWoS、InFO和SoIC等封裝技術的進步,台積電預計2030年左右可以打造萬億級電晶體的晶片。台積電採用的方法與英特爾比較相似,問題在於如何實現這一目標,最近半導體行業一直被收益率和產能所困擾。 據稱,台積電的1nm工藝將是一個昂貴的計劃,預計總開發成本超過了320億美元。台積電也會為1nm工藝新建一座晶圓廠,地點在台灣南部的嘉義縣,總面積超過了100公頃,同時會按照60/40的比例劃分,以同時滿足半導體製造和封裝的需求。 雖然先進工藝的開發難度越來越大,投入越來越高,不過台積電並沒有停止前進的步伐,除了1nm工廠,預計還會建造多座2nm工廠。 ...

台積電的晶圓平均價格一年內上漲22%,收入增長幾乎依賴於更貴的晶片

幾天前,台積電(TSMC)公布了2023年第四季度業績,顯示收入達到了6255.3億新台幣,與去年同期基本相同,環比增加14.4%。其中3nm工藝的出貨量占總收入的15%,相比上一個季度的6%大幅度提升。 近日有分析師表示,目前對晶片的需求並沒有達到歷史最高水平,行業總體來說比較低迷,但是台積電300mm晶圓的平均價格(ASP)在第四季度已漲至6611美元,一年內上漲了22%,這一增長的主要原因就是3nm工藝的出貨量提升。事實上,當前半導體行業的增長大部分來自於價格的上漲,而不是晶片出貨量的增加。 從全球第一代工廠台積電的出貨量就能證明這一點,根據統計的數據,其2023年第四季度的出貨了295.7萬片300mm晶圓,低於2022年第四季度的370.2萬片,大幅下降了20.1%,也是自2020年以來首次低於300萬片,但是收入幾乎沒什麼下降。與此同時,300mm晶圓的平均價格已經從5384美元漲至6611美元。 在台積電的定義里,7nm或更先進的工藝都稱為先進工藝。在2023年第四季度里,3nm、5nm和7nm工藝的出貨量分別占總收入的15%、35%和17%,三者相加達到了銷售金額的67%,也就是超過三分之二。可以說,近年來最新製程節點的報價上漲,很大程度上推動了半導體行業的收入增長。隨著更新的半導體製造技術出現,台積電的代工報價也會越來越高。 值得關注的是,目前用於智慧型手機和高性能計算的晶片收入占比相同,各占了43%,與過去智慧型手機SoC主導台積電出貨量有所不同。此外,來自汽車晶片的收入占了5%,物聯網晶片也貢獻了5%。 ...

3nm晶圓超過14萬元 台積電做夢都笑醒:價格暴漲22%

快科技1月23日消息,根據分析師Dan Nystedt披露的數據,2023年第四季度,300mm晶圓的平均價格高達6611美元,約合人民幣4.8萬元,創下歷史新高,相比一年前飆升了22%! 當季,台積電出貨的晶圓折合300mm總計295.7萬塊,最近三年第一次不足300萬塊,相比2022年第四季度的370.2萬塊少了足足20%。 但因為價格上去了,台積電的收入卻沒怎麼少。 當然,工藝越先進,晶圓就越貴,N3 3nm級別工藝的每塊最貴可達2萬美元(約合人民幣14.3萬元),確實比N4/N5、N6/N7節點上貴得多。 工藝節點分布方面,N3隻是第二個季度取得收入,就奉獻了29.43億美元,占比已達15%。 N5依然是絕對主力,收入68.67億美元,占比高達39%。 N7收入也有33.354億美元,占比為17%。 以上三種先進工藝合計占了台積電總收入的67%,如果在算上16nm,所有的FinFET工藝收入占比為75%。 成熟工藝方面,28nm 7%,40/45nm 4%,65nm 5%,150/180nm 4%,110/130nm 3%。 來源:快科技

台積電公布2023Q4財報:營收超預期,先進工藝收入占比三分之二

近日,台積電(TSMC)公布了2023年第四季度業績,顯示收入達到了6255.3億新台幣(約合人民幣1433.09億元),與去年同期基本相同,環比增加14.4%。若以美元計算,收入為196.2億美元,同比下降1.5%,環比增加13.6%,這一數字在台積電略高於預期值(188億美元到196億美元之間)。 台積電在2023年第四季度的淨利潤為2387.1億新台幣(約合人民幣546.88億元),攤薄後每股收益為新台幣9.21元(1.44美元每ADR單位),相比去年同期兩者均減少了19.3%。如果與2023年第三季度的財報比較,2023年第三季度的淨利潤增加了13.1%。 在2023年第三季度,台積電的毛利率、營業利潤率和稅後純益率分別為54.3%、41.7%和38.6%。到了2023年第四季度,台積電的毛利率降至53%,營業利潤率略微下降至41.6%,同時稅後純益率也降到38.2%。 在2023年第四季度里,3nm、5nm和7nm工藝的出貨量分別占總收入的15%、35%和17%,三者相加達到了銷售金額的67%,高於上一季度的59%,隨著3nm工藝的產量大幅度攀升,目前先進工藝占據了台積電三分之二的收入。目前在台積電的定義里,7nm或更先進的工藝都稱為先進工藝。 台積電表示,2023年第四季度的營收得益於3nm工藝產量的持續強勁增長,進入2024年第一季度,預計會受到智慧型手機季節性因素影響,不過會被HPC需求所抵消。台積電預計2024年第一季度的收入將在180億美元至188億美元之間(假設新台幣兌換美元的平均匯率為31.1兌1),毛利率在52%至54%之間,營業利潤率在40%至42%之間。此外,台積電預計2024年資本支出在280億美元至320億美元之間。 ...

美國補貼不到位:台積電新工廠推遲至少1年 3nm也懸了

快科技1月19日消息,台積電近日公開承認,位於亞利桑那州的第二座美國工廠將會推遲至少1年,原定的3nm工藝也懸而未決,原因主要是美國答應好的補貼遲遲不到位。 台積電美國工廠名為Fab 21,一期工程投資120億美元,將在2025年下半年投入量產,主要是生產5nm、4nm工藝晶片。 2022年底,台積電將美國工廠投資增至最多400億美元,並宣布建設Fab 21二期工廠,計劃2026年投產N3 3nm工藝。 兩座工廠的年產能可達60萬塊晶圓,也就是每個月5萬塊。 但是受《晶片與科學法案》的影響,美國政府給予新工廠的補貼存在很大變數,加之客戶需求疲軟,台積電決定推遲新工廠的進度,目前預計要到2027年甚至2028年才能完成。 台積電還表示,新工廠正在建設中,但投產工藝尚未最終決定,仍在討論中,具體取決於客戶需求和時間周期。 看樣子,台積電這是要和美國政府討價還價。 來源:快科技

台積電推遲美國亞利桑那州工廠項目:延後一年,原因是缺乏補貼且需求減弱

上個月台積電(TSMC)突然宣布,董事長劉德音已經決定不再尋求下一屆台積電董事會成員提名,並會在2024年年度股東大會後退休。據猜測,劉德音的退休可能與台積電在美國亞利桑那州的Fab21晶圓廠項目有關。自2021年4月開工以來,Fab21晶圓廠項目就遇到了各種麻煩,甚至一度陷入了困境,使得整個項目的工程進度出現了延誤。 據SeekingAlpha報導,近日劉德音在公司與分析師和投資者的電話會議上表示,Fab21晶圓廠二期工程正在進行當中,當前的時間表是2027年至2028年,但尚未決定具體採用什麼技術,這與美國政府能提供多少激勵措施有關。 台積電在2022年末宣布Fab21晶圓廠項目總投資額增加到400億美元,其中一期工程初期投入120億美元,選擇了4/5nm工藝的生產線,二期工程原計劃選擇3nm工藝的生產線,並於2026年投產,兩期工程加起來的總產能為每月5萬片晶圓。現在由於《CHIPS Act》在補貼方面的不確定性,以及客戶的需求減弱,讓台積電將部署時間推遲至少一年,甚至開始重新評估是否需要更先進的技術,或者只是選擇相對成熟的製造工藝即可。 劉德音強調,海外興建晶圓廠選擇什麼技術,取決於客戶所在地區在某個時間段的需求。此外,劉德音重申一期工程將會在2025年上半年量產,並且能夠保證製造質量和可靠性。 ...

2023年全球半導體廠商TOP25:中國一根獨苗

半導體調研機構TechInsights公布了2023年全球頭部25家半導體企業的排行榜,以銷售收入為依據。 需要注意的是,其實很多企業還沒有公布2023年第四季度業績,因此本次排行是預估數值,後期可能會有變化。 2023年,TOP25半導體企業的名字沒有變,總收入5168.27億美元,同比下降11%,其中前十名總收入3577.77億美元,同比下降9%。 台積電以688.52億美元高居第一,Intel 514.01億美元次之,但分別下跌了9%、16%。 NVIDIA是最閃亮的明星,AI浪潮下收入直接翻倍來到495.65億美元,排名從第八飆升到第三位。 三星去年排名第一,但今年收入暴跌37%只剩下483.04億美元,屈居第四。 之後分別是:高通、博通、SK海力士、AMD、英飛凌、意法半導體、德州儀器、蘋果、美光、聯發科、恩智浦半導體(NXP)、ADI、索尼、瑞薩、微芯、安森美、格芯聯電、鎧俠、中芯國際、西部數據。 榜上企業中,只有七家實現了正增長,其中NVIDIA達到了驚人的102%,其他都未超過10%。 按照地域劃分,美國13家占了整整一半,台灣、日本、歐洲都是3家,韓國2家,中國大陸只有1家——中芯國際62.96億美元排名第24,比上年升高一位。 來源:快科技

3/5/7nm產能過剩 台積電7大客戶一覽:昔日第二華為遺憾消失

快科技1月8日消息,由於外部因素制約,昔日台積電最大的客戶之一華為,已經從下面這個榜單上消失了,這也讓人很惋惜。 從統計可以看出,2023年台積電前7大客戶分別是:蘋果、AMD和高通,這三家分列前三位。 此外,英偉達、博通、聯發科和索尼緊隨其後,昔日該榜單的第二名華為,已經遺憾消失。 在這之前,華為是台積電第二大客戶,每年貢獻超300億營收,增速還比蘋果快,如果不是因為美修改晶片規則,華為或許已經超越蘋果成為台積電第一大客戶。 數據顯示,華為訂單2020年貢獻超389億元營收,按照14%不變增長率,三年貢獻營收超1300億元,但台積電卻沒獲得這些營收。 從2022年後半年開始,台積電先進工藝產能就過剩了,尤其是7nm工藝。 進入2023年後,台積電先進工藝產能進一步過剩,5nm/3nm訂單也不及預期,更是出現了營收下滑的情況,如果有華為,可能就不會是這個情況了。 來源:快科技

台積電第一家日本工廠即將開張:最先進的28nm工藝

快科技1月2日消息,台積電宣布,位於日本的第一家晶圓廠將於2月24日正式開張,下半年正式投產。 台積電日本晶圓廠位於熊本縣附近,將生產N28 28nm級工藝晶片,這是日本目前最先進的半導體工藝。 22ULP工藝也會在這里生產,但注意它不是22nm,而是28nm的一個變種,專用於超低功耗設備。 這種工藝對高性能CPU、GPU、SoC來說顯然不夠,但對於汽車、消費電子領域的晶片還是足夠的,而且這部分市場需求也很大,在日本就有大量企業仍然在使用這類晶片。 該晶圓廠完全投產後,將創造約1700個工作崗位,目前已有約1400名員工,今年春天還會再加入250人左右。 台積電還將在熊本縣附近再建第二座晶圓廠,製造工藝升級到N16級別,包括N16、N12、N12e等不同版本。 甚至,台積電還在考慮第三座日本晶圓廠,據說可能升級到目前最先進的3nm工藝,但這跨度有點太大。 來源:快科技

台積電日本工廠將在2月舉辦啟用儀式,計劃2024H2開始投產

台積電(TSMC)正在位於日本九州島的熊本縣投資打造了新的生產基地,用於生產面向汽車和電子消費領域所需要的22/28nm晶片,目前建設工程已來到最後的階段。 據ctee報導,台積電將在2024年2月24日舉辦日本工廠的啟用儀式,並計劃2024年下半年開始投產,月產能為4.5萬片晶圓。工廠現在大概有1400名員工,2024年春季會有250名應屆畢業生加入,預計全面投入運營後,台積電大概雇傭約1700人。 這是台積電在日本在第一間晶圓廠,與索尼及電裝(DENSO)株式會社共同投資建造,投資成本約為70億美元,在2022年開工。項目也得到了日本政府的支持,並提供了補貼,不過暫時不清楚具體的金額。與台積電在美國的項目進展緩慢且成本大幅度攀升不同,日本的項目似乎異常順利,總體成本也要低得多。此外,文化上更加相近,使得日本的項目遇到的摩擦也更少。 此前有報導稱,台積電還打算在日本建造另外一座新工廠,擴大規模,另外還會採用更為先進的12/16nm工藝。同時台積電還在日本建立一個研發中心,並選擇與東京大學展開各種項目的合作。 ...

台積電:2030年量產1nm、可封裝1萬億個電晶體

快科技12月28日消息,IEDM 2023國際電子元件會議上,台積電公布了一份野心勃勃的半導體製造工藝、封裝技術路線圖,已經規劃到了2030年。 眼下,台積電正在推進3nm級別的N3系列工藝,下一步就是在2025-2027年間鋪開2nm級別的N2系列,包括N2、N2P等,將在單顆晶片內集成超過1000億個電晶體,單個封裝內則能做到超過5000億個。 為此,台積電將使用EUV極紫外光刻、新通道材料、金屬氧化物ESL、自對齊線彈性空間、低損傷低硬化低K銅材料填充等等一系列新材料、新技術,並結合CoWoS、InFO、SoIC等一系列封裝技術。 再往後就是1.4nm級別的A14、1nm級別的A10——命名和Intel A20、A18如出一轍,但看起來更“先進”。 1nm A10工藝節點計劃2030年左右量產,將在單顆晶片內集成超過2000億個電晶體,單個封裝內則超過1萬億個,相比N2工藝翻一倍。 有趣的是,Intel也計劃在2030年做到單個封裝1萬億個電晶體,可謂針鋒相對。 目前最復雜的單晶片是NVIDIA GH100,電晶體達800億個。 多晶片封裝方面處於領先地位的是各種GPU計算晶片,Intel Ponte Vecchio GPU Max超過1000億個電晶體,AMD Instinct MI300A、MI300X分別有1460億個、1530億個電晶體。 來源:快科技

台積電制定萬億級電晶體晶片封裝計劃,2030年前完成1.4/1nm工藝的開發

在IEDM 2023會議上,台積電(TSMC)介紹了萬億級電晶體晶片封裝的路線圖,將採用3D封裝完成。為了實現這一目標,台積電重申了正在開發的2nm級別的N2和N2P工藝,另外會在2030年之前,完成1.4nm級A14工藝和1nm級A10工藝的開發。 據TomsHardware報導,台積電預計隨著包括CoWoS、InFO和SoIC等封裝技術的進步,可以在2030年左右打造萬億級電晶體的晶片。此外,台積電也在致力構建多達2000億個電晶體的單晶片。 近年來,由於晶片製造廠商面臨技術和資金的挑戰,尖端半導體工藝技術的發展有所放緩。與其他同行一樣,台積電也面臨著相同的挑戰,但作為全球最大的晶圓代工廠,台積電非常有信心,隨著2nm、1.4nm和1nm製程節點的推出,能夠在未來五到六年內在性能、功耗和電晶體密度方面帶來進一步的提升。 目前英偉達的GH100是最復雜的單晶片設計之一,擁有800億個電晶體,不過台積電表示,很快便會有更為復雜的單晶片設計出現,電晶體數量將超過1000億個。要製造如此大的晶片,難度和成本都變得越來越高,因此更多的晶片設計公司傾向於採用多晶片設計,AMD的Instinct MI300X和英特爾的Ponte Vecchio就是很好的例子,由數十個小晶片組成。 按照台積電的說法,這一趨勢將會持續下去,幾年後我們會看到超過1萬億電晶體組成的多晶片解決方案。 ...

傳台積電第二間2nm晶圓廠提早完成准備工作,或同時部署兩間新晶圓廠

此前有報導稱,台積電(TSMC)將為台灣新竹科技園的2nm晶圓廠安裝設備,計劃2024年4月開始執行,這預示著N2工藝項目的重大進展。這里毗鄰台積電負責N2工藝開發的R1研發中心,顯然也是為了方便試產的工作。近期台積電也再次強調,下一代2nm製程節點會在2025年實現量產,將為旗下半導體工藝首次引入Gate-all-around FETs(GAAFET)電晶體技術。 據ctee報導,台積電第二間2nm晶圓廠位於台灣高雄科技園,可能提前完成准備工作。其一期工程的生產線計劃於2025年開始進入量產階段,這比預期的2026年要更早一些,與新竹科技園的2nm晶圓廠時間上很接近。有消息稱,二期工程也已獲得了所有必要的許可。 由於台積電通常不會同時間部署兩間採用先進工藝的新建晶圓廠,所以對該項目的進度情況應該要有所保留。此外,還有兩個大問題需要考慮:首先,台積電最近幾個季度對資本支出的增加持謹慎態度,不太可能提前投資完成配套准備工作;另外即便真的安裝好了生產設備,考慮到2nm工藝昂貴的報價,不一定有足夠客戶的訂單來支撐。 如果說台積電有這麼動力盡早提升2nm工藝的產能,大概只有一個原因:來自三星和英特爾的代工服務競爭加劇,並確保能夠為所有願意為最新半導體技術支付溢價的大客戶提供服務。顯然這樣的客戶並不多,可以說是屈指可數。 ...

傳台積電更換董事長或與美國工廠項目有關:工程延誤,至今未獲得補貼

台積電(TSMC)在上周突然宣布,董事長劉德音已經決定不再尋求下一屆台積電董事會成員提名,並會在2024年年度股東大會後退休。台積電董事會提名、公司治理和可持續發展委員會建議副董事長、總裁兼執行長魏哲家擔任台積電下一任董事長,但須經過2024年6月舉行的下一屆董事會選舉產生。 據TomsHardware報導,劉德音的退休引發了多方諸多猜測,對於一個職業生涯已有40年的人來說本身是很正常的,但事先毫無徵兆就有點奇怪了,而且相關說法來自於官方而不是本人,要知道台積電創始人張忠謀在2018年宣布選擇退休時是自己發的聲明。據猜測,劉德音的退休可能與台積電在美國亞利桑那州的Fab21晶圓廠項目有關。 自2021年4月開工以來,Fab21晶圓廠項目就遇到了各種麻煩,甚至一度陷入了困境,使得整個項目的工程進度出現了延誤。劉德音在2023年裡,大部分時間都在努力維持Fab21晶圓廠項目的運轉,努力化解各種難題。傳聞張忠謀並不贊成台積電在美國的項目,對《晶片法案》的補貼也持懷疑態度,而工程不斷遇到問題使得劉德音陷入被動。 接替劉德音的是副董事長、總裁兼執行長魏哲家,首要工作是讓Fab21晶圓廠項目重回正軌,以面對未來的挑戰。該項工程直到現在都沒能獲得《晶片法案》的補貼,劉德音一直沒能解決這個問題,魏哲家上任後估計也會面臨較大的壓力。 ...

先進半導體工藝成本不斷攀升:建造2nm工廠需280億美元,每片晶圓價格3萬美元

採用尖端工藝技術生產晶片需要越來越復雜的半導體製造工具,而且每個新的製程節點的成本都在攀升,且幅度相當大。據相關媒體的報導,分析認為2nm比起3nm工藝的製造成本會進一步抬升,預計晶片價格會上漲50%。 據推算,建造一座月產量在5萬片晶圓的2nm工廠需要的成本大概為280億美元(約合人民幣1998.44億元),而同樣產能的3nm工廠的成本約為200億美元(約合人民幣1427.46億元),成本的提高主要來自於EUV光刻工具數量的增加。2nm比起3nm需要更為精細的製造工藝,如果要同時保持生產速度,不可避免地使用到更多尖端製造技術。這也極大地影響了那些需要先進工藝製造晶片的客戶,比如蘋果,也是目前唯一一家使用台積電最新N3B工藝批量生產晶片的公司。 有分析機構做了進一步的估算,預計台積電量產下一代N2工藝時,每片300mm晶圓的成本約為3萬美元(約合人民幣214119元),而基於N3B工藝的同規格晶圓的成本約為2萬美元(約合人民幣142746元),也就是成本增加了50%,最終這部分成本也會攤分到每塊銷售給消費者的晶片上。分析機構認為,蘋果2nm晶片的成本將從現在3nm晶片的50美元提高到85美元。 此前有其他機構也做了類似的分析,認為台積電每片2nm晶圓的成本為2.5萬美元,似乎成本上漲的幅度並沒有那麼大。由於2nm工藝要到2025年下半年才會量產,可能要到那時候才能確切了解台積電的成本提升幅度有多大。 ...

英特爾CEO稱Intel 18A優於台積電N2工藝,量產時間也更早

英特爾幾乎將賭注都壓在了快速推進位程節點上,畢竟按照公布的工藝路線圖,需要完成「四年五個製程節點」的計劃,這將直接影響英特爾代工服務(IFS)未來業務的拓展。英特爾准備將Intel 18/20A推向市場,希望能重新奪回半導體製造技術的領先地位。 近日,英特爾執行長帕特-基爾辛格(Pat Gelsinger)接受了媒體的采訪,認為「Intel 18A比台積電N2工藝更好一些」。原因是Intel 18A工藝採用了RibbonFET全環繞柵極電晶體和PowerVia背面供電技術,比競爭對手領先好幾年,能為晶片提供了更好的面積效率,這意味著更低的成本、更好的供電和更高的性能。此外,帕特-基爾辛格還暗示N2工藝太貴了,Intel 18/20A有機會從尋求更高成本效益的客戶那裡獲得訂單。 按照英特爾新的說法,採用Intel 18A工藝製造的晶片將會在2024年第一季度出現,首批量產產品會在2024年下半年上市。相比之下,台積電的N2工藝要等到2025年下半年才量產,理論上英特爾在時間上還要領先一年。雖然台積電在N2工藝上引入了GAA架構電晶體,但仍然採用傳統的供電技術,因此在英特爾看來技術上並不如Intel 18A工藝。 當然,台積電並不認同這種說法。明年台積電將帶來N3P工藝,將提供與Intel 18A相當的能效、性能和電晶體密度,而下一代的N2更是全面優於N3P和Intel 18A工藝。 ...

Intel:我們1.8nm工藝輕松打敗台積電2nm 2年內沒對手

快科技12月23日消息,據媒體報導稱,Intel的CEO接受采訪時表示,自家的18A製程(1.8nm)比領先台積電N2,在這塊他們2年內沒有對手。 報導稱,英特爾的未來取決於重新獲得半導體製造領域的技術領先地位,這位CEO相信這將在兩年內實現。 在Intel的CEO看來,其對20A和18A充滿信心,主要是因為它們採用了RibbonFET架構,即全柵極 (GAA) 電晶體和背面功率傳輸技術。 這些技術對於製造2nm晶片的公司來說至關重要,可以在降低功率泄漏的同時實現更高的邏輯密度和時鍾速度。 與此同時,台積電的N3P和其他即將推出的3nm節點將繼續使用成熟的FinFET架構,直到英特爾一年後的N2節點轉向GAA。 不過台積電並不買帳,公司總裁魏哲家之前聲稱,根據內部評估,台積電N3P 3nm工藝在性能方面就可以媲美Intel 18A,而且更早推出、更成熟、更省成本。 他還強調,台積電的2nm工藝比Intel 18A更加先進,2025年推出的時候將成為最先進的製程工藝。 值得一提的是,Intel的CEO之前還表示,英偉達的成功都是運氣。 來源:快科技

台積電董事長退休,董事局提名CEO接替

台積電(TSMC)宣布,董事長劉德音已經決定不再尋求下一屆台積電董事會成員提名,並會在2024年年度股東大會後退休。台積電董事會提名、公司治理和可持續發展委員會建議副董事長、總裁兼執行長魏哲家擔任台積電下一任董事長,但須經過2024年6月舉行的下一屆董事會選舉產生。 劉德音於1993年加入台積電,並在2018年6月創始人張忠謀退休後擔任董事長。在劉德音任內,重申了台積電對其使命的承諾,並專注於加強公司治理和競爭力,特別是在技術領先、數字卓越和全球足跡方面。 劉德音表示:「在台積電的30年對我來說是一段非凡的旅程。我想向我們才華橫溢的團隊致以最誠摯的感謝,是他們使公司成為今天的全球領導者。我很榮幸能在我們傳奇的創始人張忠謀之後擔任這樣一個世界知名企業的董事長。我現在想把我幾十年的半導體經驗用於其他用途,花更多的時間和我的家人在一起,開始我人生的下一個篇章。我將繼續與董事會一起努力監督公司治理,直到本屆任期的最後一天。我相信台積電在未來幾年裡繼續會有出色表現。」 魏哲家於1998年加入台積電,在此之前曾在新加坡特許半導體製造有限公司和德州意法半導體擔任要職。 ...

下一代CFET電晶體密度翻倍:英特爾、台積電和三星展示各自方案

在上周的IEEE IEDM會議上,英特爾、台積電(TSMC)和三星展示了各自的CFET電晶體方案。堆疊式CFET架構電晶體是將n和p兩種MOS器件相互堆疊在一起,未來將取代GAA(Gate-All-Round),成為新一代電晶體設計,以實現密度翻倍。 英特爾是首個展示CFET方案的晶圓代工廠,早在2020年就公開了首個早期版本。這次英特爾介紹了CFET製造的最簡單電路之一,即反相器的幾項改進。CMOS反相器將相同的輸入電壓發送到堆棧中兩個設備的柵,並產生一個邏輯上與輸入相反的輸出,而且反相器在一個鰭上完成。英特爾同時還將電晶體使用的納米片數量從2個增加到3個,垂直間隙也從50nm減小到30nm。 目前5nm製程節點的柵極間距為50nm,不過這是使用單側互連的簡單FinFET。三星展示的CFET方案里,柵極間距為45/48nm,比起英特爾的60nm要更小。盡管三星的CFET原型里45nm柵極間距版本性能有所下降,但研究人員認為通過對製造過程的優化可以解決這個問題。三星成功之處是能夠電氣隔離堆疊的n和p兩種MOS器件的源和漏,關鍵步驟是使用一種涉及濕化學品的新型干刻蝕來替代濕法刻蝕。另外與英特爾單個電晶體使用3個納米片不同,三星是成對電晶體使用單個納米片。 台積電與三星一樣,設法將柵極間距控制在48nm,其CFET方案的特點包括一種在頂部和底部電晶體之間形成介電層的新方法,以保持間距。納米片通常由矽和矽鍺的交替層形成,台積電嘗試使用矽鍺專用刻蝕方法,在釋放矽納米線之前於兩個電晶體之間構建隔離層。 據了解,CFET技術轉化為商業大規模使用大概還需要7到10年的時間,在此之前仍然有許多前期准備工作要完成。 ...

台積電將為2nm晶圓廠安裝設備,計劃2024年4月開始執行

近日台積電(TSMC)在IEEE國際電子元件會議(IEDM 2023)上再次強調,下一代的2nm製程節點會在2025年實現量產,將為旗下半導體工藝首次引入Gate-all-around FETs(GAAFET)電晶體技術。 據LTN報導,台積電將為台灣新竹科技園的2nm晶圓廠安裝設備,計劃2024年4月開始執行,這預示著台積電N2工藝項目的重大進展,屬於重要的里程碑。為晶圓廠安裝設備一般的周期為一年,會有多台來自ASML的極紫外(EUV)光刻工具,然後還需要時間驗證。由於台積電從未公布過2nm晶圓廠的時間表,外界只能從其他方面的蛛絲馬跡了解到其中的進展情況。 台積電為了站穩先進位程的領先位置,內部已組建了名為「One Team」的團隊,沖刺2nm製程節點的開發、試產和量產等工作,包括推動其位於台灣新竹寶山和高雄兩地晶圓廠的同步試產及2025年的量產。團隊里除了研發人員,還有前期負責生產的晶圓廠工程師。 此前有報導稱,台積電在台灣的北部(新竹寶山)、中部(台中中科)和南部(高雄楠梓)都有重大投資,興建2nm晶圓廠。其中位於新竹科技園寶山用地二期會興建Fab20晶圓廠,共規劃了四座12英寸晶圓廠(P1-P4),是新一代N2工藝的啟動點,將安排在2024年下半年進入風險性試產。 ...

台積電首次提及1.4nm工藝正在研發中,對2nm工藝信心滿滿

近日,台積電(TSMC)在IEEE國際電子元件會議(IEDM 2023)上透露,其1.4nm製程節點的研發工作已全面展開,進展順利,同時再次強調下一代的2nm製程節點會在2025年實現量產。 據TomsHardware報導,這是台積電首次對外披露其1.4nm製程節點的情況,其對應工藝的正式名稱為「A14」。至於A14工藝的具體規格和量產時間,暫時還不清楚。按照台積電的計劃,N2工藝計劃在2025年底量產,N2P工藝則是2026年底,有理由相信A14工藝的推出時間大概在2027年至2028年之間。 盡管台積電正在探索下一代堆疊式CFET架構電晶體技術,不過A14工藝不太可能採用,更可能依賴於第二代或第三代Gate-all-around FETs(GAAFET)電晶體技術,這一點應該與N2工藝相同。此外,也不清楚台積電是否會在A14工藝上啟用High-NA EUV光刻機,新設備的引入或許會為晶片設計人員和晶片製造商帶來一些新挑戰。像N2和A14這樣的前沿半導體工藝,需要系統級協同優化,才能真正發揮作用,最終將性能、功耗和功能提升到新的水平。 去年三星在「Samsung Foundry Forum 2022」上,公布了未來的技術路線圖,其中SF1.4(1.4nm級別)工藝預計會在2027年量產,納米片的數量從3個增加到4個,有望顯著改善性能和功耗的表現。從時間上來看,台積電的A14工藝應該與三星的SF1.4工藝差不多。 對於外界盛傳三星在2nm上采降價策略搶奪訂單,台積電董事長劉德音表示「客戶還是看技術的品質」,似乎對下一代工藝非常有信心。 ...

台積電2nm工藝將在2025年量產,為iPhone 17 Pro生產處理器

在今年9月的蘋果推出了搭載A17 Pro處理器的iPhone 15 Pro,這顆處理器是採用台積電3nm工藝生成的,與之前相比有更好的性能與能效比,當然蘋果肯定不會就此停下來,他們已經開始在研發新一代處理器,根據最新的消息,台積電已經向蘋果展示了他們的2nm晶片,預計在2025年量產。 根據相關媒體報導,蘋果很有可能在2025年推出採用台積電2nm工藝生成的處理器的iPhone 17 Pro。蘋果是台積電最大的客戶,現在iPhone和Mac的處理器大部分都是由台積電生產的,蘋果直接買斷了台積電2023年的3nm產能,使得他們能夠領先於任何競爭對手採用更先進工藝,台積電預計在2025年量產2nm工藝,屆時iPhone 17是首款採用新工藝處理器的設備。 目前台積電的N3節點電晶體密度是183MTr/mm2,台積電還有四個3nm級別節點,其中剛進入量產的N3E節點電晶體密度提升至215.6MTr/mm2,而2024年後投產的N3P可進一步提升至224MTr/mm2,而他們的第一個2nm節點N2的電晶體密度會提升至259MTr/mm2,所以2024年蘋果很有可能會選擇使用N3P工藝作為過渡。 當然了上述所說的都是在理想情況下,如果不出意外的話台積電按時投產就能按上面的時間表進行,但新的工藝可能會出現各種延期,這就得看台積電那邊能否解決新工藝要面臨的各種問題了。 ...

2023Q3排名前十晶圓代工廠營收環比增長7.9%,IFS首次進入前十名

根據TrendForce最新的統計數據,顯示隨著終端及IC客戶庫存陸續消化至健康水平,以及下半年iPhone和Android都相繼推出新機型等有利因素影響,帶動了2023年第三季度的智慧型手機、筆記本電腦相關零部件的急單。由於短期市況不明,高通脹風險仍然存在,因此廠商備貨僅以急單方式進行。 台積電(TSMC)和三星的3nm製程節點均貢獻了營收,為產業帶來正面效應,也帶動了2023年第三季度前十晶圓代工廠的營收增長,環比增長7.9%至282.9億美元。 排名第一的台積電受惠於PC、智慧型手機零部件的急單回補,加上3nm製程節點在本季度貢獻了約6%的營收,使得先進位程(7nm及以下)的營收占比已達到近六成,而整體營收環比增長10.2%至172.5億美元;第二的三星通過高通中低端驍龍5G蘋果、基帶,還有28nm的OLED DDI等訂單加持,整體營收環比增長14.1%至36.9億美元;第三的格羅方德(GlobalFoundries)與上個季度幾乎齊平,約18.5億美元;雖然排在第四的聯華電子(UMC)也得到急單支撐,不過整體營收環比減少1.7%,約18億美元;中芯國際(SMIC)也優惠於季節性調整,主要以智慧型手機急單為主,整體營收環比增長3.8%至16.2億美元。 第六至第十名里,變化最大的是英特爾代工業務(IFS),排名大幅度上升,也首次出現在前十的榜單中。得益於今年下半年筆記本電腦季節性拉貨等因素,加上先進位程的貢獻,整體營收環比增長34.1%至3.1億美元,排在了第九位。 展望第四季度,在年底購物旺季的心理預期下,智慧型手機和筆記本電腦供應鏈的急單有望延續,其中以前者更為明顯,預計2023年第四季度前十晶圓代工廠的營收將繼續環比增長,且漲幅高於第三季度。 ...

全球前十大晶圓代工最新排名出爐 台積電第一 中芯國際第五

快科技12月6日消息,根據TrendForce集邦咨詢研究,2023年第三季前十大晶圓代工廠產值為282.9億美元,環比增長7.9%。 台積電(TSMC)排名第一,市場份額57.9%。第三季營收環比增長10.2%,達172.5億美元。其中3nm在第三季營收占比達6%,而台積電整體先進位程(7nm含以下)營收占比已達近6成。 三星位列第二,市場份額12.4%。第三季營收達36.9億美元,環比增長14.1%。 格芯(GlobalFoundries)第三,市場份額6.2%。第三季晶圓出貨和平均銷售單價持平第二季,營收也與第二季相近,約18.5億美元。 聯電(UMC)市場份額6%,排名營收微幅環比減少1.7%,約18億美元,其中28/22nm營收季增近一成、占比上升至32%。 中芯國際(SMIC)市場份額5.4%。同樣受惠於消費性產品季節性因素,尤以智慧型手機相關急單為主,第三季營收環比增長3.8%,達16.2億美元。 此外,華虹半導體、高塔半導體、世界先進、英特爾、力積電躋身前十。 以下為具體排名: 來源:快科技

台積電也扛不住了 7nm工藝無奈降價最多10%

快科技11月30日消息,據媒體報導稱,台積電將對其先進的7nm工藝進行降價,幅度大致在5-10%,原因是產能利用率嚴重不足。 前兩年,全球半導體行業供應緊張,頭號代工廠台積電更是忙得不可開交,各種工藝的產能完全無法客戶需求,“不得不”全線漲價。 如今形勢逆轉,幾乎所有的晶圓代工廠都在降價,而且不管是成熟工藝還是先進工藝都逃不掉。 據業內人士,如今代工生意不好做,產能利用率持續降低,而為了確保產能利用率、市占率,維持一定的生產經濟規模,降價是不得已的動作。 其中,成熟工藝晶圓代工的產能利用率如果能保住不低於60%,對於廠商來算就算好消息了。 傳聞稱,聯電、世界先進、力積電等代工廠都大幅降低了明年一季度的成熟工藝代工報價,部分項目高達15-20%,只有台積電還在堅挺,僅僅針對光罩費用降低了區區2%。 如今,降價潮從成熟工藝蔓延到先進工藝,就連台積電也撐不住了,可見形勢之糟糕。 這種情況下,很多晶片設計企業作為客戶也強硬起來,比如韓國,部分公司就要求代工廠降價,幅度在10%左右。 來源:快科技

傳台積電拿下英特爾140億美元訂單,未來產品將更加依賴外包生產

此前有報導稱,隨著新產品生產的需要,英特爾計劃在2024年和2025年將擴大外包的訂單量,除了自己的製造部門外,很大部分將流向台積電(TSMC),而且占比會變得更高,雙方將展開更為密切的合作。 據TomsHardware報導,半導體分析報告顯示台積電拿下了英特爾超過140億美元的訂單,其中2024年將近40億美元,而2025年則超過100億美元。據了解,台積電明年底可能會為英特爾准備每月1.5萬片晶圓的3nm產能,到了2025年將提高至每月3萬片晶圓。最快在2025年,英特爾將成為台積電前三大客戶,也是3nm製程節點的第二大客戶。 有分析師表示,台積電代工能力太強,直言「一旦使用就很難再回去」,雖然英特爾至今仍然堅持只是外包GPU和I/O模塊等晶片,但從Lunar Lake開始,將包括計算模塊,這是英特爾首次外包生產高性能x86內核。假設英特爾內部產能不變,每年代工產能加持19~20%總產能,這意味著代工產品的營收貢獻在2024年將達到28%,到2025年更是高達44%。 使用台積電代工能帶來英特爾諸多好處,包括使用更為先進的工藝技術、降低製造與製程研發成本、節省資本開支以便支付更多現金股利,另外還能降低折舊費用,並且提供更有競爭力的產品價格。 ...

台積電董事長:英偉達將成為全球最大晶片公司

得益於人工智慧(AI)和高性能計算(HPC)前所未有的需求水平,英偉達的數據中心業務再次成為了亮點,計算卡出貨量不斷提高。同時英偉達的股票也成為了華爾街的寵兒,今年出現了連續數月的攀升。 據Wccftech報導,英偉達GPU的供應離不開其主要代工廠台積電(TSMC),而後者的董事長劉德音在近期的采訪中表示,確信英偉達在今年底將成為世界上最大的晶片公司,認為英偉達正處於全球半導體供應鏈的頂端。劉德音還介紹了台積電在人工智慧領域的地位,以及所使用的技術方法,通過引入人工智慧,可以簡化公司運營,並輔助技術研發,提高了整體效率。 幾天前英偉達公布的2024財年第三財季財報顯示,其營收又一次創下了新紀錄,收入為181.2億美元,同比增長206%,環比增長34%,而且繼續在快速增長當中,第四財季預計達到200億美元。另一方面,AMD和英特爾的季度營收分別為58億美元和141.6億美元,這意味著兩者相加才與英偉達相當。此外,英偉達目前市值為1.19萬億美元,而AMD和英特爾分別為1980億美元和1850億美元。 ...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

超英特爾、台積電:英偉達成全球半導體之王 營收行業第一

快科技11月25日消息,據媒體報導稱,按照英偉達最新公布的數據看,181.20億美元成績已經讓他們成為了半導體之王。 縱觀英偉達的業績,已經超越了英特爾和台積電,而兩者對應的營收分別是141.6億美元和172.8億美元,三星緊隨其後,營收是125.2億美元。 當然,老黃領導下的英偉達增收有增利,其第三財季的利潤也是達到了夸張的104.2億美元,秒殺行業一眾對手(台積電只有72.1億美元)。 全球前十的半導體廠商收入中,還有AMD、高通、博通、聯發科等,當然最遺憾的還是華為海思了。 由於種種原因,海思的營收從2020年的82億美元降至2021年的15億美元,收入大減了67億美元,2022年就更低了,不過隨著麒麟9000S的到來,這個情況會改善不少。 按照之前的說法,華為接下來會在更多的機型中啟用自家的麒麟晶片。 來源:快科技

高通和聯發科下一代旗艦晶片不會轉向三星代工,均選擇台積電第二代3nm工藝

目前蘋果、英偉達、AMD、高通和聯發科等晶片設計公司都採用台積電(TSMC)的半導體工藝製造最新的晶片,這些公司部分晶片可能會由三星代工,但是通常不是旗艦型號。隨著過去幾個月良品率,三星也非常希望能搶奪其中部分訂單,比如使用其3nm GAA工藝,不過似乎並不是那麼成功。 之前有傳言稱,高通的第四代驍龍8可能採用雙代工廠策略,同時採用台積電的N3E工藝和三星的SF3E(3GAE)工藝。不過據ctee報導,目前高通和聯發科都計劃採用台積電第二代3nm工藝(N3E),製造第四代驍龍8和天璣9400的晶片,並沒有所謂的雙源計劃。相比於蘋果A17 Pro所採用的第一代3nm工藝(N3B),性能和能效都會有所改進。 三星在2022年6月末宣布,其位於韓國的華城工廠開始生產3nm晶片,採用全新GAA(Gate-All-Around)架構電晶體技術,傳聞比起台積電3nm所使用的FinFET技術更為節能。雖然三星的新一代先進工藝已推出一年多了,不過一直都沒有獲得大客戶的大批量訂單。反倒是4nm工藝,隨著三星逐步解決了一系列問題,第三代4nm工藝提升了性能、降低了功耗、以及提高了密度,而且良品率提升至接近台積電的水平,似乎已得到了AMD等廠商的認可,獲得了新的訂單。 目前台積電3nm產量已開始拉升,預計明年末每月產能將達到10萬片晶圓,收入占比也會從現在的5%上升至10%。三星計劃明年帶來名為SF3(3GAP)的第二代3nm工藝技術,在原有的SF3E基礎上做進一步的優化,而Exynos 2500可能是首款採用新工藝的高性能晶片。 ...

德國政府挪用預算被裁定違憲,或影響英特爾和台積電新建晶圓廠補助

今年台積電(TSMC)和英特爾先後宣布在德國興建半導體工廠的計劃,前者將與博世、英飛凌和恩智浦半導體共同投資位於德國德勒斯登的歐洲半導體製造公司(ESMC),後者將在馬格德堡興建兩座新的晶圓廠。之所以選擇在德國建設新的晶圓廠,能獲得大額的補貼是重要因素之一。 台積電和英特爾在歐洲新建晶圓廠獲得的補貼主要有兩個來源:一個是歐盟本身,這是7月份通過的《歐洲晶片法案》的一部分內容;另外一個是各個國家政府,德國政府已經向台積電和英特爾承諾,分別獲得大概50億和100億歐元的補貼。 據ComputerBase報導,德國憲法法院日前裁定德國聯邦政府預算挪用違憲,其中也涉及到對半導體企業的補助,相關資金的審批已經停滯,這些項目的補貼可能會重新審查,存在一定的不確定性,補貼金額可能會被削減甚至取消。 如果得不到及時的政府資金,台積電和英特爾的新建晶圓廠項目可能需要自己額外增加資本支出或者在其他項目里重新分配資金,另外也有可能縮小項目規模、方面項目建設進度、或者尋求其他的投資者。有業界從業人員稱,如果補貼承諾無法兌現,可能會對台積電和英特爾的生產和經營方式產生影響。 ...

台積電籌劃第三座日本晶圓廠:最先進的3nm工藝

快科技11月22日消息,據媒體援引知情人士的消息稱,台積電正籌劃在日本建設第三座晶圓工廠,而且會生產至少目前最先進的3nm工藝。 這幾年,台積電在全球多地布局晶圓廠,包括美國、德國、日本。 其中在日本的第一座工廠位於日本南部的熊本縣,由索尼、電裝株式會社投資,正在建設中,進展順利,預計2024年底投產12nm工藝。 第二座工廠與之緊鄰,預計2025年投產5nm工藝。 規劃中的第三座工廠同樣位於熊本縣,編號“Fab 23 Phase-3”,也就是三期工程,將跨越到最新的3nm工藝。 不過,新工廠是否能夠落地、何時開建尚不明確。 即便能以最快速度開工,投產至少也要到2026年甚至是2027年,到時候3nm工藝將不再是最先進的,但依然是頂流。 甚至有說法稱,台積電有可能在日本建造第四座晶圓廠,或選址在熊本以北的另一個縣。 近年來,日本不斷在先進半導體工藝方面加碼,一方面通過數萬億日元的高額補貼吸引外企,包括台積電、美光、三星、力積電等,另一方面積極推動本土企業追趕世界先進水平,比如幫助本土創業公司Rapidus在北海道建立2nm工藝生產線。 對於熊本縣來說,能得到台積電如此青睞,無疑會讓當地經濟有一個巨大的飛躍,預計其GDP 2035年可達75萬億日元左右,比想現在增加足足50%。 來源:快科技

開天闢地第一次 Intel x86 CPU交給台積電代工

快科技11月22日消息,網上傳出一份Intel下下代處理器Lunar Lake的曝料,包含詳細的架構與技術規格、生產與製造工藝。 Meteor Lake開始,Intel採用了分離式模塊化結構,將原本完整的單顆晶片分成Compute、GPU、SoC、IO等不同模塊,可以採用不同工藝製造並組合,其中既有自己的Intel 4,也有台積電外包代工(具體仍未公布)。 明年的Arrow Lake也是如此,會首次加入Intel 20A。 後年的Lunar Lake還是如此,此前路線圖上顯示會加入Intel 18A,結合外部工藝。 此前9月底的技術創新大會上, 最新流出的信息顯示,Lunar Lake MX Compute模塊也就是包含CPU核心的部分,居然會交給台積電N3B,也就是第一代3nm。 如果屬實,這將是Intel x86高性能核心第一次由第三方代工! Lunar Lake的定位有些特殊,並非多平台通吃,而是單獨面向低功耗移動平台專門設計的(所以不知道會不會叫第三代酷睿Ultra),包括8W無風扇設計、17-30W風扇設計。 它還是大小核混合架構,但布局與現在截然不同,最多4個Lion Cove架構的大核心單獨一組,有自己的二/三級緩存,最多4個Skymont架構的小核心另外單獨一組,有自己的二級緩存,彼此通過North Fabric交叉開關連接在一起,另外還有獨立的8MB系統緩存。 NPU 4.0 AI引擎和GPU部分都與大核心放在同一個Die里邊,其中GPU部分級為第二代Xe架構,也就是和明年的Battlemage獨立顯卡同源,只是功耗更低,核心數最多8個,支持實時硬體光追。 它甚至會將LPDDR5X-8533內存整合進來,封裝在一起,最多兩顆,容量16GB或者32GB,估計比傳統分離式設計可節省100-250平方毫米的封裝空間,但也是去了擴展性。 此外,擴展連接方面支持四條PCIe 5.0、四台PCIe 4.0、三個雷電4/USB4 40Gbps、兩個USB 3.1 10Gbps、Wi-Fi 7、藍牙5.4。 來源:快科技

台積電2024H1產能利用率重回80%,蘋果開始拉動3nm產能放量

2023年半導體產業並沒有按預計那樣在第二季度復蘇,即便第四季度庫存已接近谷底,但受限於總體經濟狀況,反彈似乎還是太早了。隨著庫存情況繼續改善、車用市場回暖、以及人工智慧(AI)需求爆發,台積電在2024年似乎迎來了健康成長,且表現優於整體市場。 據DigiTimes報導,台積電2023年全年營收跌幅有可能比預期更小一些,這主要得益於年底前產能利用率回升,而且2024年上半年有可能重新回到80%以上。市場需求主要來自終端設備需求回升和人工智慧需求拉升,高通、英偉達和AMD等客戶擴大了投片的規模。 另外一個重要因素,是台積電報價1萬美元以上的7nm以下工藝占整體營收的比重持續提高,同時CoWoS封裝訂單也在攀升,而且有著美元強勢的加持。當然,台積電頭號客戶蘋果功不可沒,有著穩健表現的iPhone,加上各種Mac和iPad新品,報價達2萬美元的3nm工藝開始放量明顯帶動了近期台積電的營收。 有業內人士表示,台積電至今年底,6/7nm產能利用率能守住70%,4/5nm產能利用率接近80%,3nm月產能大概在每月6至7萬片晶圓,預計明年底會提升至每月10萬片晶圓。不過需要注意的是,台積電3nm工藝的良品率較低,明顯影響了毛利率,即便到明年也會有較大壓力。 ...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

台積電創始人:美國復制台積電沒可能 半導體不是花錢就能獨立

快科技11月20日消息,台積電創辦人張忠謀公開表示,美國半導體行業想要獨立基本不可能。 張忠謀表示,美國要重新建立像台積電Capacity(規模)簡直是不可能的事情,至少在短期內不可能。 談及美國晶片法案,張忠謀說,吸引台積電赴美設廠投資金額為520億美元,當中390億美元為美國政府補貼,但這是多年補貼的合計總額。 而台積電每年平均投資300億美元,甚至更多,“這是否能解讀為美國吸引投資金額相對小”。 張忠謀稱,無論是美國晶片法案或其他法案,“我覺得都是蠻浪費的”。 “如果美國認為可以靠花錢進入世界上最復雜的晶片製造市場,那就太天真了。他稱,半導體晶片製造極其復雜,需要大量的勞動力,並講究組裝質量。”張忠謀說道。 來源:快科技

英偉達會在中國發售嗎 RTX 50系顯卡要用台積電3nm工藝:5090狂堆料

快科技11月19日消息,據媒體報導稱,英偉達RTX 50系列顯卡所採用的GB200系列GPU將採用台積電3nm工藝。 從曝光的最新細節看,代號為GB202的旗艦產品RTX 5090的CUDA內核增加50%,總數達到24576個。這比基於圖靈的RTX 2080的內核多出8倍多。 此外,旗艦新卡的內存帶寬也將通過使用32Gbps GDDR7提升52%,高於當前RTX 4090的GDDR6X。 時鍾速度預計將提高15%,這是最大的升級之一。這將使RTX 5090的頻率提升到2.9GHz,在遊戲工作負載中輕松超過3GHz。現有 RTX 4090 的最高頻率為2.52GHz。 為了進一步提升性能,NVIDIA計劃將二級緩存增加兩倍,達到 128MB,遠遠超過AMD RX 7900 XTX上的 96MB。這種額外的緩存旨在最大限度地利用 GDDR7 帶來的更高內存帶寬。 如果 NVIDIA 能夠實現這些雄心勃勃的目標,那麼僅從規格上看,RTX 5090 的性能將比RTX 4090高出70%以上。 面對4090的下架,5090還會在中國發售嗎? 來源:快科技