Home Tags 台積電

Tag: 台積電

台積電提高明年月度CoWoS產能目標:提升20%以滿足市場需求

進入2023年後,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產能變得緊張,不得不緊急擴大2.5D封裝產能。此前有報導稱,經過台積電幾個月的努力,目前CoWoS封裝產能已提高至每月15000片,英偉達占用了其中40%的部分,而AMD則占據了8%。 據相關媒體報導,台積電已經計劃提高明年月度CoWoS產能目標,在原有基礎上提升20%,達到每月35000片晶圓,以滿足激增的市場需求。過去一段時間里,由於台積電CoWoS產能不足,導致英偉達數據中心GPU長期供應緊缺,同時其他幾間主要的晶片設計公司也希望獲得更多的CoWoS產能,以製造更為先進的晶片。 近日台積電董事長劉德音曾向媒體表示,正在努力滿足客戶對於CoWoS產能的需求,但只能支持大約80%的潛在訂單。隨著英偉達H200的到來,對CoWoS產能的需求只高不低,有消息人士稱,可能要消耗掉台積電60%的CoWoS產能。 事實上,提高先進封裝的產能並不完全在台積電的掌控范圍內,畢竟需要供應鏈的配合,比如材料也要相對應增加產量。台積電總裁兼聯合行政總裁魏哲家已經表態,到2024年底要將CoWoS產能翻一番,這是現階段制約先進晶片生產的關鍵點。 ...

三星正在准備先進封裝解決方案:稱為「SAINT」,將與台積電CoWoS競爭

台積電(TSMC)的CoWoS(Chip-on-Wafer-on-Substrate)是一項2.5D封裝技術,可以將多個小晶片封裝到一個基板上,最早發布於2012年。這項技術有許多優點,但主要優勢是節約空間、增強晶片之間的互聯性和降低功耗。過去的幾個月里,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100的需求大幅度提高,而這些數據中心GPU都採用了CoWoS封裝。 據The Korean Economic Daily報導,三星正在准備自己的先進封裝解決方案,稱為「SAINT(Samsung Advanced Interconnection Technology.)」,將與台積電的CoWoS封裝競爭。三星將提供三種封裝技術,包括: SAINT S - 用於垂直堆疊SRAM存儲器晶片和CPU SAINT D - 用於CPU、GPU、DRAM等核心IP的垂直封裝 SAINT L - 用於堆疊應用處理器(AP) 目前三星已經通過了驗證測試,計劃與客戶進一步測試後,明年晚些時候擴大服務范圍。毫無疑問,半導體市場將受益於先進封裝領域的新參與者,台積電目前為英偉達和AMD等客戶提供CoWoS封裝服務,獲得了巨大的收益。隨著不少企業逐漸從單晶片設計轉向小晶片設計,先進封裝成為了新的前進方向,這也促使了台積電不斷提高CoWoS封裝的產能,以滿足市場的需求。 三星希望「SAINT」先進封裝解決方案能夠從競爭對手那裡搶奪市場份額,不過像英偉達這樣的大客戶是否滿意三星提供的技術還有待觀察。此前有報導稱,三星的目標不僅僅是封裝訂單,還想借機拿下部分HBM3訂單,傳聞已經與AMD達成了協議,為即將到來的Instinct MI300系列提供HBM3和封裝技術。 ...

AMD Zen5c架構巨變 三星4nm斜刺里殺出 與台積電3nm共舞

AMD將從明年起全年導入Zen5、Zen5c架構,包括桌面上的Granite Rapids、筆記本上的、伺服器上的Turin。 LinkIn上的AMD員工信息顯示,Zen5c架構的代號為“Promethus”,也就是普羅米修斯,古希臘神話中泰坦族的神明之一,父親是地母蓋亞與烏拉諾斯的兒子伊阿珀托斯,母親是名望女神克呂墨涅,曾與智慧女神雅典娜共同創造了人類。 更重要的是,Zen5c的代工工藝將同時包含台積電3nm、三星4nm(4LPP),這意味著AMD將採用雙代工模式,但具體如何分工暫不清楚。 媒體指出,AMD可能會讓三星試產或代工特定的IO晶片,但不太可能將重要的IP晶片交給三星。 三星為其Exynos手機處理器引入了AMD RDNA系列GPU架構授權,先後發布了RDNA架構的Exynos 2200、RDNA3架構的Exynos 2400,後續據說還有RDNA4架構的Exynos 2500。 雖然這些表現一般,但是三星和AMD的關系迅速升溫,拿下一部分代工也在意料之中,只是三星的工藝一直不讓人放心,AMD肯定有所保留。 畢竟過去這幾年,AMD一直依賴台積電,後者穩定、強大的工藝也是AMD取得今日成功的關鍵。 回顧一下歷代Zen架構代號: Zen 2 (7nm) – Valhalla(英靈殿) Zen 3 (7nm) – Cerberus(刻耳柏洛斯,古希臘神話地獄三頭犬) Zen 4 (4/5nm) – Persephone(珀耳塞福涅,古希臘神話冥後) Zen 4c(4/5nm) - Dionysus(狄俄尼索斯,古希臘神話酒神) Zen 5 (3/4nm) –...

台積電已將CoWoS封裝產能提高至每月1.5萬片,英偉達占用了其中的40%

過去的幾個月里,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產能變得緊張,不得不緊急擴大2.5D封裝產能,以滿足不斷增長的需求。 據Wccftech報導,經過台積電的不斷努力,目前CoWoS封裝產能已提高至每月15000片,其中英偉達占用了其中40%的部分,而AMD則占據了8%。英偉達急劇增加的訂單也促使台積電在今年早些時候啟動了對應的流程,以應付CoWoS封裝產能的提升。傳聞到2024年上半年。台積電可能會將CoWoS封裝產能進一步提高至每月20000片。 如果對比之前報導中出現的數據,可以發現台積電的CoWoS封裝產能提升速度比預期的要更快。數月前有消息稱,台積電計劃2023年底前將現有的CoWoS封裝產能從每月8000片提高到11000片,到2024年底時再進一步提高到14500片至16600片,現在台積電已提前達成目標。 此外,台積電還與多家企業合作,將部分封裝訂單外包出去,這可以提升20%的產能。其中包括了日月光(ASE)和聯華電子(UMC)都在9月接到了訂單,在英偉達的封裝供應鏈中發揮關鍵作用。英偉達正計劃將其封裝供應鏈多元化,已聯系日月光和聯華電子提供相關技術,作為台積電的後備緩解部分壓力。 ...

台積電7nm以下工藝漲價6% NV、AMD、聯發科被迫同意

台積電7nm以下先進位程晶圓代工報價明年將將再漲3~6%,16nm以上則保持不變。 報導稱,台積電已將漲價計劃通知客戶。有半導體業者透露,NVIDIA、聯發科、AMD等大廠已願意接受漲價。 根據台積電最新公布的第三季財報顯示,台積電3nm製程的營收在總營收當中的占比已達6%,這主要得益於搭載A17 Pro處理器的iPhone 15 Pro系列的出貨;5nm製程占比37%,相比二季度增長了7個百分點;7nm製程占比16%,相比二季度減少了7個百分點。7nm及更先進的製程營收的占比為59%,相比二季度減少了6個百分點。 由於目前台積電3nm製程僅蘋果一家大客戶,這也導致台積電3nm營收占比增長有限。不過,隨著後續高通、聯發科旗艦晶片的相繼投片,台積電先進位程營收占比將有望進一步上升。 另外,在日前的三季度法說會上,台積電還表示,2nm製程有望在2025年量產,具體將會在新竹寶山與高雄2座晶圓廠同步進行。 據了解,台積電的2nm製程工藝將放棄傳統的FinFET電晶體工藝,轉向GAA全環繞柵極電晶體架構(台積電的版本命名為Nanosheet),相較於N3E工藝同功耗下性能提升10-15%,同性能下功耗下降25-30%,但電晶體密度提升僅10-20%。 另外,作為2nm製程技術平台的一部分,台積電也在研發背面供電(backside power rail)解決方案,該設計最適於高性能計算相關應用。 根據台積電的規劃,目標是在2025年下半年推出背面供電技術供客戶採用,並於2026年量產。 隨著台積電持續強化的策略,2nm及其衍生技術將進一步擴大台積電的技術領先優勢。 至於價格方面,2nm製程的晶圓代工報價可能將會由3nm的2萬美元/片,進一步上漲至2.5萬美元/片。 來源:快科技

台積電豪言:我們的3nm就能打敗Intel 1.8nm

Intel正在積極推進“四年五個製程節點”計劃,將在2024-2025年搞定20A、18A工藝,分別相當於2nm、1.8nm,尤其後者預計會反超台積電,重奪領先。 對此,台積電自然不會坐視不理,對自己的技術也非常自信。 台積電總裁魏哲家聲稱,根據內部評估,台積電N3P 3nm工藝在性能方面就可以媲美Intel 18A,而且更早推出、更成熟、更省成本。 他還強調,台積電的2nm工藝比Intel 18A更加先進,2025年推出的時候將成為最先進的製程工藝。 Intel 20A/18A將會引入全環繞柵極電晶體RibbonFET,以及背部供電PowerVia。 台積電的N3/N3E/N3P/N3X 3nm系列則依然是傳統的FinFET電晶體,2nm工藝上才會上馬GAA全環繞電晶體。 其中,N2版本計劃2025年下半年量產,N2P版本計劃2026年底量產。 在過去的第三季度,5nm工藝為台積電貢獻了37%的收入,遙遙領先其他節點,其次是7nm 16%、28nm 10%、16nm 9%。 3nm在這個季度第一次為台積電創收,就帶來了約10.3億美元(感謝蘋果)。 相比之下,5nm工藝在2020年第三季度首次商用取得收入約9.7億美元,占比約8%。 來源:快科技

台積電表示其N3P與Intel 18A技術相當,N2推出時將是最先進工藝

在英特爾2021年公布的「四年五個製程節點」的半導體工藝路線圖里,Intel 7和Intel 4已實現大規模量產;Intel 3正在按計劃推進,目標是2023年底;Intel 20A和Intel 18A進展順利,目標是2024年,將採用RibbonFET全環繞柵極電晶體和PowerVia背面供電技術。英特爾堅信,如果能按時推進項目,那麼到2025年憑借Intel 18A就能夠重新回到領先位置。 據The Motley Fool報導,近日台積電總裁兼聯合行政總裁魏哲家在公司財報電話會議上表示,台積電內部評估顯示,N3P工藝在性能與能效上與Intel 18A技術相當,但上市時間更早,技術上也更為成熟,而且成本還要低得多。同時還重申台積電的N2工藝優於競爭對手的Intel 18A,2025年推出時將成為半導體行業最先進的技術。 在英特爾看來,Intel 20A和Intel 18A分別屬於2nm和1.8nm級別,有可能比台積電同級別製造工藝更早上線,而且有RibbonFET全環繞柵極電晶體和PowerVia背面供電技術加持,所以技術上領先於對方。顯然台積電持不同的看法,雖然其3nm製程節點的N3、N3E、N3P和N3X等工藝僅依賴於成熟的FinFET電晶體和傳統的供電設計,但是技術運用上更為嫻熟,實際效果並不比對方差。 台積電計劃在2nm製程節點採用Gate-all-around FETs(GAAFET)電晶體,同時將使用背面供電(BSPD)技術來提高性能,製造的過程仍依賴於現有的極紫外(EUV)光刻技術。台積電認為引入新一代技術後,N2工藝將在功率、性能、面積上全面勝出。 ...

台積電公布2023Q3財報:先進工藝收入占比近六成

台積電(TSMC)昨天公布了2023年第三季度業績,顯示收入達到了5467.3億新台幣(約合人民幣1236.7億元),同比下降10.8%,環比增加13.7%。若以美元計算,收入為172.8億美元,同比下降14.6%,環比增加10.2%,這一數字在台積電此前的預期值內(167億美元到175億美元之間)。 台積電在2023年第三季度的淨利潤為2110億新台幣(約合人民幣477.28億元),攤薄後每股收益為新台幣8.14元(1.29美元每ADR單位),相比去年同期兩者均減少了24.9%。如果與2023年第二季度的財報比較,2023年第三季度的淨利潤增加了16.1%。 在2023年第二季度,台積電的毛利率、營業利潤率和稅後純益率分別為54.1%、42%和37.8%。到了2023年第三季度,台積電的毛利率略微增加至54.3%,營業利潤率降至41.7%,同時稅後純益率增加到38.6%。 在2023年第三季度里,3nm、5nm和7nm工藝的出貨量分別占總收入的6%、37%和16%,三者相加達到了銷售金額的59%,高於上一季度的53%,隨著3nm工藝進入大批量生產階段,目前先進工藝占據了台積電接近六成的收入。目前在台積電的定義里,7nm或更先進的工藝都稱為先進工藝。 台積電表示,2023年第三季度的營收得益於3nm工藝的量產和市場對5nm工藝有了更大的需求,不過部分收益被客戶持續的庫存調整所抵消。台積電預計2023年第四季度的業績得到3nm工藝的有力支持,不過部分增益仍會被客戶的庫存調整抵消,該季度的收入將在188億美元至196億美元之間(假設新台幣兌換美元的平均匯率為32兌1),毛利率在51.5%至53.5%之間,營業利潤率在39.5%至41.5%之間。 ...

台積電有望2025年量產2nm晶片 美國、日本工廠進展神速

10月19日,台積電總裁魏哲家在法人說明會上披露,台積電有望在2025年量產2nm工藝晶片。 目前,台積電已經開始量產3nm工藝,首發且迄今唯一用於蘋果A17晶片,後續還會疊代多個不同版本。 消息稱,台積電組建了全新的2nm任務團隊,布局前所未有,將同時沖刺2nm在新竹寶山、高雄兩座工廠同步在2024年試產、2025年量產。 台積電2nm工藝會首次放棄傳統的FinFET電晶體工藝,轉向GAA全環繞柵極電晶體,相較於N3E工藝同功耗下性能提升10-15%,同性能下功耗下降25-30%,但電晶體密度提升只有10-20%。 不過,代價也是非常高的。3nm代工晶圓已經漲價2萬美元,2nm預計會進一步達到2.5萬美元,折合超過18萬元人民幣。 此外,魏哲家還披露,台積電位於美國亞利桑那州的工廠計劃2025年上半年開始量產,位於日本的工廠則有望2024年底開始量產。 來源:快科技

台積電1.4nm晶圓廠:被迫涼了

“反龍潭科學園區第三期擴建案自救會”爆料稱,在與竹科管理局、台積電的三方會談後,台積電已決定放棄在龍潭建1.4nm晶圓廠計劃。 此前報導顯示,主要為台積電2nm以下製程晶圓廠用地的竹科管理局規劃龍潭科學園區擴建第三期計劃,預計徵收158.59公頃土地,提供約5,900個就業機會. 但因有88%為私人土地,引發當地居民組成“反龍潭科學園區第三期擴建案自救會”,並從10月初開始前往竹科管理局抗議,要求撤回擴建案。 “反龍潭科學園區第三期擴建案自救會”發文指出,竹科管理局、台積電及自救會三方代表進行會談,會中台積電代表明確表示,對因龍潭科學園區第三期擴建案而引發的龐大社會爭議,台積電深感不安,並認同龍潭在地鄉親愛護土地及家園的強烈情懷,決定放棄原本在擴建案內的設廠計劃。 針對自救會發文內容,台積電本周正值法說會前的緘默期,並沒有給予正面響應。但台積電此前有官方回應表示,台積電是科學園區土地的企業租戶,園區規劃為政府權責,尊重居民及主管機關,無法進一步評論。 竹科管理局表示,園區擴建是為引領台灣產業聚落升級,非為單一廠商征地,但將重新調整擴建范圍,兼顧產業發展與民眾權益,以達到雙贏局面,並重新評價計劃用地需求,聽取及整合民眾意見,評價調整擴建計劃范圍,盡最大努力兼顧民眾權益,而原訂10月舉辦的第二次公聽會將延期。 原本龍潭科學園區第三期擴建案攸關台積電1.4nm晶圓廠設廠計劃,原定2026年建廠,並將在2027年至2028年間量產. 依照目前來看,台積電龍潭設廠計劃生變,後續就必須另覓新地電來建造1.4nm新廠。 來源:快科技

台積電創始人斷言:IFS將繼續停留在台積電陰影下

近年來,世界各國爭相推動半導體產業發展,作為世界晶圓代工的龍頭,台積電(TSMC)也面臨著各種的問題。據相關媒體報導,最近台積電創始人張忠謀在出席公開活動期間,就台積電未來面臨的挑戰,以及戰略定位發表了自己的看法。 張忠謀表示,盡管台積電的成功要歸功於運營效率和大量投資研發,但隨著地緣政治變化和競爭加劇,接下來台積電將面臨越來越多的困難。雖然英特爾近兩年不斷推動其IDM 2.0戰略,為打造世界一流的英特爾代工服務(IFS)投入了不少資源,同時還得到了美國政府的大力支持,但張忠謀認為英特爾不會對台積電構成實質性的威脅,除非在技術、良品率和定價等方面都提升至台積電的水平才會有機會。張忠謀並不太擔心這種情況發生,稱台積電可以克服困難,而英特爾代工服務仍將繼續停留在台積電陰影下。 此外,張忠謀強調了半導體行業全球化和自由貿易的趨勢減弱,原有的政策和商業戰略被國家安全問題所取代,不過台積電依然能在半導體供應鏈中扮演重要角色。由於地緣政治緊張局勢加劇,其他競爭對手可能會利用這一點來獲得與台積電競爭中的優勢,台積電將面臨更多的挑戰。 ...
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

獲美國對華設備供應無限期豁免 台積電:只是28nm

10月14日消息,據悉,台積電已獲得美國的豁免延期,可以向該公司在中國大陸的工廠供應美國晶片設備。 隨後,台積電證實已獲准於南京持續運營,同時也正在申請在中國大陸運營的無限期豁免。台積電預計將獲得美國許可,無限期向其中國大陸工廠供應美國晶片設備。 “我們預計會通過VEU流程獲得永久授權。”台積電表示。該公司指出,他們之前並不需要申請VEU地位。台積電還在另外一份聲明中稱,公司已經獲得美國的批准,可在申請VEU地位的同時繼續運營南京工廠。 按照台積電的說法,目前正在擴充南京廠設備,以製造28納米晶片,目前被批準的也是這個及其以上製程的工藝,14nm、7nm等沒有在批準的名單中(其實想想都知道的嘛)。 來源:快科技

台積電、三星3nm實際良率只有50%?蘋果可能被坑了

據韓媒Chosun Biz近日報導,台積電、三星這兩大先進位程晶圓代工巨頭,在3nm製程上遭遇重大瓶頸卻未被曝光,稱這兩家廠商的3nm的良率可能都難以超過60%,遠低於吸引晶片設計廠商所需的水平。 若要成功吸引高通、英偉達等重量級買家,需要達到至少70%的良率才足夠。 報導稱,目前台積電和三星的3nm製程良率都維持在50%區間。 此前有報告一度顯示,三星為大陸客戶設計的晶片已達60%良率,但後來發現這個數字不包括邏輯晶片的SRAM,也就是非完整的3nm製程。 同一時間,為蘋果iPhone 15 Pro系列提供3nm的A17 Pro處理器代工台積電,其3nm工藝仍基於FinFET製程。 雖然此前一些專業人士預估,其良率最高可達70%以上,最新的信息顯示,A17 Pro實際良率僅大約在在55%上下,相當於生產2片晶圓就會有1片得報廢,也讓其下半年的運營展望增添變數。 根據台積電最新公布的9月營收計算,其三季度營收將達到5466億元,環比增長13.6%,創下同期第3高紀錄,營收表現優於財測預估。 除台積電和三星都准備在2024年和2025年生產更先進、更高效的升級版3nm製程,英特爾也計劃推出3nm的Sierra Forest和Granite Rapids晶片,並計劃在2024年量產Intel 20A和Intel 18A製程,預計2025年將會有相關產品上市。 英特爾今年7月曾表示,製造已達到產量和性能目標,但卻未給出具體數字。 來源:快科技

英特爾和台積電披露下一代CFET電晶體進展,未來將取代GAA技術

據eeNewEurope報導,英特爾和台積電(TSMC)即將在IEDM 2023上公布下一代CFET電晶體的進展情況。未來堆疊式CFET架構將取代GAA(Gate-All-Round),成為新一代電晶體設計。 CFET電晶體將n和p兩種MOS器件相互堆疊在一起,需要使用高精度和高功率的High-NA EUV光刻機來製造。其概念最初由IMEC研究所於2018年提出,雖然早期探索研究主要集中在學術界,不過英特爾和台積電已經冒險進入該領域,積極展開下一代電晶體架構的研究。 目前英特爾已建立了一個單片式3D CFET,將三條n-FET納米片分布覆蓋在三條p-FET納米片之上,並保持30nm的垂直間隙。英特爾將報告取名為「採用電源通孔和直接背面組件觸點60nm閘極間距的堆棧式CMOS逆變器演示」,描述了在60nm閘極間距上使用CFET的功能逆變器測試電路。該設計還採用垂直分層雙電源漏外延和雙金屬閘極堆棧,並結合了英特爾的PowerVia背面供電技術。 台積電將討論其實際操作CFET的方法,這是為製造邏輯晶片而量身定製的,具有48nm柵極間距。台積電的設計強調了層狀n型納米片電晶體置於p型電晶體之上,使其具有跨越六個數量級的開/關電流比。台積電已經證明了其設計的耐用性,90%以上的電晶體成功經受住了測試。不過台積電也承認,要充分利用CFET技術的能力,還有更多的功能需要吸收,目前正在進行的工作是實現這一目標的關鍵一步。 CFET技術為電晶體設計帶來了明顯的轉變,其允許垂直堆棧兩個電晶體安裝在一個電晶體的面積內,可進一步提升晶片上電晶體的密度。這種設計不僅為提高空間使用提供解決方案,而且還促進了更精簡的CMOS邏輯電路布局,有利於提高設計效率。CFET的既有結構可以減少寄生效應,從而為提高性能和功率效率創造了可能。此外,還能與背面供電等新技術相結合,可簡化製程技術的復雜性。 ...

三星和台積電均遭遇難題:在3nm工藝良品率上掙扎

目前三星和台積電(TSMC)都已在3nm製程節點上實現了量產,前者於2022年6月宣布量產全球首個3nm工藝,後者則在同年12月宣布啟動3nm工藝的大規模生產,蘋果最新發布的iPhone 15 Pro系列機型上搭載的A17 Pro應用了該工藝。 據ChosunBiz報導,雖然三星和台積電都已量產了3nm工藝,不過兩者都遇到了良品率方面的問題,都正在努力提高良品率及產量。三星在3nm工藝上採用下一代GAA(Gate-All-Around)電晶體技術,而台積電沿用了原有的FinFET電晶體技術,無論如何取捨和選擇,似乎都沒有逃過同一個難題,在新的製程節點都沒有達到預期的良品率。 按照台積電的規劃,在3nm製程節點上至少有5個不同的工藝,其中2個可以投產,接下來還會有N3P、N3X和N3AE。相比之下三星規劃的工藝數量更少一些,只有3個,且僅有1個投產,就是目前稱為3GAE的工藝,未來還會有3GAP和3GAP+。 據了解,目前三星和台積電在3nm工藝上的良品率分別為60%和50%,距離70%的及格線顯然還差不少。從紙面數據來看,三星的良品率更高一些,但其基於的數字局限於某款加密貨幣所使用的專用晶片上,顯然缺乏說服力。有業內人士表示,三星實際的良品率可能還不到50%,想要吸引大客戶至少要達到70%以上。 ...

台積電推出3Dblox 2.0,3DFabric聯盟將繼續推動3D IC創新

去年台積電(TSMC)宣布啟動3DFabric聯盟。這是半導體行業第一個與合作夥伴加速3D IC生態系統的創新聯盟,為半導體設計、存儲器模塊、基板技術、測試、製造和封裝提供全方位的一流解決方案和服務。 去年台積電帶來了3Dblox開放標准,旨在模塊化和簡化半導體行業的3D IC設計解決方案,現在已成為未來3D集成電路發展的關鍵推動者。台積電在今年的OIP論壇上,宣布推出新的3Dblox 2.0開放標准,具備早期3D IC設計能力,以進一步提高設計效率。台積電還會成立3Dblox委員會,作為一個獨立的標准組織,目標是創建一個行業范圍的規范,使系統設計能夠使用來自任何供應商的小晶片。 3Dblox 2.0通過創新的早期設計解決方案,為3D架構探索提供了可行性研究,業界首次將功率規格和3D物理結構放在一個整體環境中,並模擬整個3D IC系統的功率和發熱。同時3Dblox 2.0還支持晶片設計重用功能,如晶片鏡像,以進一步提高設計效率。目前3Dblox 2.0已贏得了台積電EDA主要合作夥伴的支持,開發了完全支持台積電所有3DFabric產品的設計解決方案。 在過去一年裡,3DFabric聯盟發展迅速,繼續推動存儲、基板、測試、製造及封裝集成的發展,使其全面的3D晶片堆疊和先進的封裝技術更容易為每個客戶所使用。目前台積電致力於為客戶提供全方位的成熟解決方案和服務,3DFabric聯盟的合作夥伴數量也由最初的19個增加至21個。 ...

NVIDIA明年上馬3nm 私人定製 但不是遊戲卡

快科技9月26日消息,NVIDIA將在明年推出採用台積電3nm級工藝的下一代高性能計算GPU Blackwell GB100,以及下一代加速卡B100。 NVIDIA現有的GH100 GPU使用的是台積電4nm工藝,而且是定製版。 台積電3nm有多種版本,包括性能增強版N3P、高性能計算專屬N3X,NVIDIA GB100具體用哪個尚不清楚,估計很可能也會是定製版本。 事實上,NVIDIA Ampere、Ada Lovelace使用的台積電工藝,同樣都有很大的定製成分。 至於下一代遊戲顯卡GB20x GPU,應該也會是台積電3nm工藝代工,但要到2025年才能見到了。 蘋果是迄今唯一推出3nm工藝的廠商,A17 Pro用的是台積電第一代N3B,而聯發科已經使用第二代N3E工藝,完成下一代旗艦天璣9400的流片。 未來兩年,Intel、AMD、高通等也都會紛紛升級台積電的3nm工藝。 來源:快科技

英偉達已向台積電3nm工藝下單,Blackwell架構B100將於2024Q4到來

目前台積電(TSMC)已量產了3nm工藝,不過直到現在也僅有蘋果一個大客戶下單,且搭載於iPhone 15 Pro系列的A17 Pro在能效方面的表現並不太好。傳聞台積電3nm工藝報價達到2萬美元的高價,加上半導體行情持續低迷,不少台積電的大客戶都修改了原定的計劃,推遲採用3nm工藝,至少要等到2024年下半年才導入。 憑借人工智慧(AI)對數據中心GPU的強勁需求,英偉達是少數能在市場不景氣的大環境裡逆勢而起的科技公司,大量的訂單一定程度上彌補了台積電營收下降的缺口。據DigiTimes報導,英偉達近期還與台積電簽下了3nm工藝的訂單,而且打算趁熱打鐵,提前至2024年第四季度推出Blackwell架構B100,在數據中心市場繼續壓制其他競爭對手,早於原來計劃的2025年。 AMD最早採用3nm工藝的是EPYC伺服器處理器,如無意外也會在2024年下半年出現。隨著市場回暖,高通和聯發科也計劃在2024年下半年採用台積電的3nm工藝。雖然三星更早進入3nm代工市場,不過截至目前幾乎所有大的訂單都是集中在台積電手上。 此前有報導稱,台積電的3nm產能利用率可能會下降,2024至2025年年間大概在每月7萬片到8萬片晶圓。即便現在接連傳出利好消息,台積電的3nm產能規劃仍然比較保守,現階段對2024年3nm月產能規劃也就提升至10萬片晶圓。 值得注意的還有英特爾,由於高度不確定性,台積電並沒有將其納入統計中。如果下一代ArrowLake如傳言那樣將部分計算模塊改用台積電的3nm工藝,那就是額外的進補。 ...

隨著供應鏈成本上升,台積電製造的AI晶片或變得更貴

雖然半導體行業整體正處於低迷之中,不過人工智慧(AI)領域卻是另一番景象。英偉達的數據中心GPU收獲了大批量的訂單,加上其他科技企業的緊急訂單,讓負責製造及封裝的台積電(TSMC)變得忙碌,先進封裝方面的產能也變得更加緊張。 現在制約台積電出貨的主要是這些人工智慧晶片所需要的封裝產能,沒有封裝光有晶片是不完整的,為此台積電在努力平衡晶片製造和封裝的產能,以便及時向客戶交付產品。據相關媒體報導,隨著台積電積極擴大先進封裝產能,供應鏈的規模也變得更大了,其中一些中間商的價格開始出現上漲,最終很可能會推高台積電製造人工智慧晶片的成本,產品會變得更貴。 面對人工智慧產品的強勁需求,台積電投資了數十億美元來提升封裝產能,比如今年7月份,台積電宣布投資28.9億美元在台灣興建一家新的封裝和測試廠。台積電希望到2024年下半年,能夠將封裝產能提高至每月3萬片,以緩解先進封裝產能不足帶來的壓力。 台積電還從聯華電子(UMC)采購CoWoS封裝所需要的中介層,目前已收到第一批訂單。由於台積電急於在本財年結束前滿足大批量人工智慧晶片訂單的需求,聯華電子計劃將其位於新加坡的工廠的產能提高一倍,從每月3000片提升至6000片。據了解,如果台積電的生產線實在難以應付,還會將部分封裝訂單外包給日月光。 ...

台積電或受益於PC庫存調整,英偉達追加訂單亦將助力營收

由於過去一段時間半導體行業的趨勢變化,台積電(TSMC)或多或少受到了影響,近期接連傳出要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備、2nm製程節點延期、可能再次下調營收預期等消息。 據Wccftech報導,隨著蘋果發布了iPhone 15系列智慧型手機,加上9月份進入最後一周,台積電似乎可以松一口氣,今年已不太可能再次下調營收預期,而且明年的營收預期可能還會更好一些。後背原因是庫存過剩的問題逐漸得到了解決,PC市場的需求也正在恢復,不少廠商選擇回補下單,明年台積電的訂單量可能會回升。 另外一個好消息是英偉達繼續追加數據中心GPU的訂單,加上AMD等廠商的一些緊急訂單,讓台積電可以維持較高的產能利用率,為其業績提供了更有力的保障。現在最大的問題是,台積電在先進封裝方面的產能十分緊張,為此在原有產能擴充目標基礎上,再追加了30%的先進封裝設備訂單,這也凸顯了當下人工智慧(AI)市場的火熱。據了解,英偉達是目前台積電CoWoS封裝的最大客戶,占據了60%的產能。 預計台積電會在明年上半年逐步完成先進封裝設備的交機和裝機,而先進封裝月產能也從原來的1.5萬片到2萬片晶圓,提升至2.5萬片以上,這也讓台積電有更充裕的先進封裝產能承接用於人工智慧晶片的訂單。台積電希望到2024年下半年,能夠緩解先進封裝產能的壓力。 ...

台積電2nm製程節點或延期,新工藝量產將推遲到2026年

台積電(TSMC)在2nm製程節點將首度使用Gate-all-around FETs(GAAFET)電晶體,同時製造過程仍依賴於極紫外(EUV)光刻技術,原計劃2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批採用N2工藝製造的晶片。 據TechNews報導,台積電在台灣的北部(新竹寶山)、中部(台中中科)和南部(高雄楠梓)都有重大投資,興建2nm工廠,而供應鏈最新消息指出,新竹寶山的建設項目已經放緩,這將影響原來的量產計劃。有業內人士推測,真正實現量產可能要推遲到2026年。 台積電在竹科寶山二期興建Fab20晶圓廠,共規劃了四座12英寸晶圓廠(P1-P4),是新一代N2工藝的啟動點,原先安排在2024年下半年進入風險性試產,2025年進入量產階段。由於受到半導體需求下降、客戶采購不明朗等因素影響,台積電開始放緩了工廠的建設。高雄的新廠幾乎與寶山同步啟動,原計劃僅比後者慢一個月,現在不確定是否也會放慢速度。台中的新廠計劃已經得到了當地主管部門的批准,不過要等到明年才開始動工,傳聞隨著計劃的改變,台積電或許會讓其跳過2nm製程節點,直接遞進到1.4nm製程節點。 與三星在3nm製程節點就已引入GAA電晶體架構不同,台積電在3nm製程節點上仍使用FinFET電晶體架構。由於技術難度較大,三星3nm GAA工藝在量產初期就遇到了良品率方面的挑戰,台積電很可能也會面臨類似的問題。傳聞市場因素結合技術原因,讓台積電決定將N2工藝的研發生產時間延後,量產時間較大機率推遲到2026年。 ...

蘋果最快於2026年採用台積電2nm工藝,英偉達或會跟進用於製造AI晶片

近日,蘋果正式發布了iPhone 15系列智慧型手機。其中iPhone 15 Pro和iPhone 15 Pro Max兩款機型搭載了新款A17 Pro,這是蘋果首款採用3nm工藝製造的晶片,由台積電(TSMC)負責製造,這也是業界首個3nm的同類晶片。 眾所周知,蘋果是台積電的最大客戶,占據了後者大概四分之一的收入。同時蘋果總是率先引入台積電最先進的半導體製造工藝,並能優先分配到產能,讓其在業界競爭中處於領先的位置。據Wccftech報導,蘋果的A18 Pro和A19 Pro會採用台積電不同版本的3nm工藝,最快會在2026年的A20 Pro才改用2nm工藝,這也是蘋果首款採用2nm工藝的SoC,假設蘋果繼續使用「Pro」後綴。 台積電總裁魏哲家去年曾表示,N2製程節點將如預期那樣使用Gate-all-around FETs(GAAFET)電晶體,製造的過程仍依賴於現有的極紫外(EUV)光刻技術,預計2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批2nm晶片。 有報導稱,台積電2nm代工價接近2.5萬美元,比現有3nm代工價高出了約25%,而蘋果將成為新工藝的首個客戶,iPhone的定價很可能水漲船高,繼續抬升。傳聞過渡到2nm工藝之前,蘋果會以N3E、N3P和N3X的順序在3nm製程節點上遞進。 英偉達或許會跟進蘋果,在2026年採用台積電2nm工藝製造下一代人工智慧晶片。目前台積電、蘋果和英偉達都投資了Arm,這將有利於台積電加強與蘋果和英偉達的合作,並確保2nm訂單。 ...

台積電正考慮在美國建立先進封裝設施,與亞利桑那州晶圓廠做垂直整合

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,原計劃第一階段工程完工後,生產線會在2024年開始投入使用,採用的是N4和N5系列工藝。不過由於受到多重因素影響,Fab21大規模生產的時間可能會延後至2025年。 雖然擁有先進工藝的晶圓廠,不過在當地缺乏相對應的封裝設施,隨著半導體電路小型化變得更加困難,Chiplet技術變得越來越重要,先進封裝成了不少代工廠近期關注的重點。據相關媒體報導,台積電已經就建設先進封裝廠與亞利桑那州當地政府談判,探討相關的可能性,以便在未來打造擁有垂直整合的晶片生產鏈。 過去的幾個月里,以ChatGPT為首的人工智慧工具在全球范圍內掀起了一股熱潮,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這也讓台積電的先進封裝產能變得緊張,為此還緊急訂購新的設備,以滿足英偉達不斷增長的需求。 現階段台積電本身就有擴大先進封裝產能的需求,而且Fab21是面向當地客戶的晶片生產基地,配套打造先進封裝設施似乎也在情理之中。不過台積電並沒有承認有關先進封裝廠的談判,只是對未來幾年建立更加緊密的合作表示樂觀。 ...
開源CPU RISC-V總部遷往瑞士 不受美國鉗制 技術更中立

蘋果A19 Pro首發 台積電辟謠「寶山2nm廠延期量產」:廠區依規劃建設中

快科技9月19日消息,近日有報導稱台積電寶山2納米廠量產將從原定的2025年下半年延至2026年。 對此,台積電最新回應稱,目前廠區建設依規劃進度進行中。 根據台積電的規劃,竹科寶山和中科是2納米製程的生產基地,8月進一步決定高雄廠也將導入2納米製程。 此前台積電副總經理張曉強曾透露,目前256Mb SRAM晶片已經可以做到50%良率以上,目標則80%以上。 據了解,台積電2nm工藝會放棄FinFET電晶體工藝,轉向GAA電晶體,相較於N3E工藝,N2在相同功耗下速度增快10%-15%,或在相同速度下功耗降低25%-30%,不過電晶體密度提升就只有10-20%了。 不過技術先進的代價就是2nm代工價格越來越貴,在3nm漲價到2萬美元的基礎上,2nm代工一片晶圓的價格是2.5萬美元,超過18萬元人民幣。 如果一切順利的話,蘋果將依然會拿下首發,並幾乎吃下前期所有的產能。 首發產品自然是iPhone 17上將會搭載的A19系列晶片,從目前iPhone 15搭載的A17 Pro來看,屆時將會是A19 Pro來首發2nm工藝。 來源:快科技
台積電越來越依賴ASML的EUV光刻機 3nm需要20層

全球離不開 張忠謀列台積電無法被超越三大優勢:美國也不行

作為台積電的創始人,張忠謀直言台積電是無法被超越的,即便美國也不行。 快科技9月19日消息,台積電創始人張忠謀在最新的公開講話中表示,台積電的晶圓製造有三大優勢:人才、工程師流動率低、交通方便。這三大優勢美國不具備。 張忠謀表示,台積電製造的晶片占全世界約50%份額,其中先進晶片則占比90%。晶片無處不在,其以蘋果手機舉例,一部蘋果手機就有至少150億個電晶體(目前最新的蘋果A17 Pro晶片,一顆就包含190億個電晶體),而晶片製造也是重要關鍵產業,我們要捍衛領導地位。 作為台積電優勢之一,台積電每年的離職率僅為4%至5%,但是美國在製造業在70、80年代晚期,每年的離職率達到了15~20%,這是非常嚴重的問題。 由於訓練一名工程師需要花費數年的時間,因此如果流動率超過10%,晶圓代工廠便難以維持好業績。另一個關鍵點,便是在任何時候,台積電都有1000或2000名工程師駐扎,這是在美國或任何其它地方都無法做到的事情。 此外,台積電總部所在地,18至22歲年輕人中大學畢業者比例高,而技術人員則有3年制大專學院畢業的,還有非常方便的佳通,這都是不可比擬的。 來源:快科技

台積電或大幅下調資本支出,3nm產能利用率也會降低

台積電(TSMC)近期受到了全球整體經濟不景氣、終端市場需求減弱、以及客戶不斷進行庫存調整的影響,導致產能出現了暫時性下降。此前有報導稱,台積電已要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備,其中包括了ASML(阿斯麥),主要原因是客戶需求存在不確定性。 台積電表示將專注於全球供應鏈的「多樣性」,沒有過多解釋背後的原因,但外界對於其前景多了幾分擔憂。據Ctee報導,高盛證券認為台積電在2023年的資本支出將保持穩定,大概在316億美元左右,不過調低了2024年的資本支出預期,從280億美元下調至250億美元,這意味著明年會有超過20%的降幅。台積電的3nm產能利用率預計也會下降,2024至2025年年間大概在每月7萬片到8萬片晶圓,低於原先每月8萬片到9萬片的預期。 高盛證券每月解釋下調台積電收入預期的原因,可能與市場變化及台積電的政策調整有關。雖然台積電在全球多處有新建晶圓廠的工程,不過隨著產能需求減弱,最終還是選擇削減了資本支出的預算,減緩了部分項目的進度。 不過高盛證券對於台積電在行業中的領導地位持樂觀態度,因為一定程度上已經有壟斷性,特別是高性能計算和人工智慧領域的晶片製造方面,訂單量仍然保持穩定,收入應該會保持同比增長。 ...

台積電要求供應商推遲交付設備,其中包括ASML

過去一段時間里,台積電(TSMC)的營收受到了全球整體經濟不景氣、終端市場需求減弱、以及客戶不斷進行庫存調整的影響。雖然台積電在全球多處有新建晶圓廠的工程,不過隨著產能需求減弱,最終還是選擇削減了資本支出的預算,減緩了部分項目的進度。 據相關媒體報導,台積電已要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備,原因是客戶需求存在不確定性,以及位於美國亞利桑那州的在建晶圓廠Fab21的工程面臨延期。這些供應商中包括了ASML(阿斯麥),合理推測台積電打算延後接收光刻設備,這也是晶圓廠里最昂貴的工具之一。 據了解,目前台積電同時進行的晶圓廠項目除了美國的Fab21外,還有兩處在台灣、一處在日本,德國的項目剛剛敲定還沒有開工。此外,台積電還需要不斷為現有的晶圓廠添加工具,以提高產能,顯然現在遇到了挫折,希望通過延遲接受設備放緩資本支出。台積電總裁魏哲家此前曾表示,疲軟的經濟環境及客戶變得更加保守的趨勢,都可能影響台積電的決策。 ASML執行長Peter Wennick此前接受媒體采訪時承認,目前設備訂單交付上出現了一些延遲,但仍保持樂觀的態度,認為這種情況只是短期的管理挑戰。盡管面臨較多不確定性,但ASML預計2023年的業績仍能實現強勁增長,淨銷售額將增長30%,毛利率將相對於2022年會略有改善。 ...

台積電美國工廠將建造試驗生產線,2024Q1將小批量試產

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,原計劃第一期生產線會在2024年開始投入使用,採用的是N4和N5系列工藝。不過由於半導體設施缺乏安裝設備所需要的專業人員,Fab21大規模生產的時間可能會延後至2025年,大概會晚一年。 雖然整個項目的工程進度延誤了,不過台積電仍保持樂觀的態度,努力化解遇到的各種難題。據Money DJ報導,為了確保新建的晶圓廠能夠順利投產,並滿足部分需求,台積電打算先建一條小規模的試驗生產線,並在2024年開始製造晶片。 據了解,這條小規模的試驗生產線預計會在2024年第一季度投入使用,每月的產能在4000片到5000片晶圓之間。台積電策略的改變,或許是為了減少因工廠延誤而導致潛在違約造成的損失,部分客戶的訂單可能指定要在Fab21完成。考慮到Fab21本身設計的產能為每月2萬片晶圓,試驗生產線的規模並不大,不過已經可以滿足當地部分用戶的需求。 有消息稱,蘋果、AMD和英偉達等大客戶可能會將部分訂單轉移到台積電其他地區的晶圓廠,以避免耽誤新產品的發布。不過有人擔心,在其他晶圓廠臨時加單可能出現不必要的搶奪產能情況。 ...

台積電考慮擴大日本工廠規模,或引入更先進的製程工藝

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,不過近期遇到了許多問題,比如缺乏安裝設備所需要的專業人員,很可能迫使台積電將大規模生產的時間將延後。 據Wccftech報導。除了文化差異等諸多因素延誤了台積電美國工廠的項目進度外,對項目成本估計不足也是台積電近期所要面臨的問題之一,傳聞現在所需要的建設成本已比原計劃高出了20%,比日本的項目高出了50%。在美國所遇到的問題讓台積電在德國的項目上變得更加小心謹慎,有所不同的是,日本的項目似乎異常順利,這也讓台積電有了更多的想法。 日本的半導體產業本身也是有著良好的基礎,而且當地的製造業也有著較高的晶片需求。此前台積電與索尼和Denso合作,在日本九州島的熊本縣投資打造了新的生產基地,計劃生產22/28nm晶片。有消息人士透露,台積電發現日本工廠的成本要比美國工廠低得多,而且雙方在文化上更加相近,工作上遇到的摩擦也更少,台積電考慮擴大日本工廠的規模,或許還會引入更先進的製程工藝。 台積電的日本工廠計劃明年開始投入生產,美國工廠可能要延後至2025年,而德國工廠預計在2027年末投入使用。 ...

英特爾向台積電出售IMS約10%股份,交易價格約為4.3億美元

英特爾宣布,已同意將IMS Nanofabrication business(簡稱IMS)約10%股份出售給台積電(TSMC),預計交易在2023年第四季度完成。台積電董事會已批准以4.328億美元的價格進行收購,意味著對IMS的估值約在43億美元左右,這與最近英特爾向貝恩資本出售約20%股份的估價是一致的。英特爾將保留IMS多數股權,後者將繼續作為前者的獨立子公司運作,由執行長Elmar Platzgummer博士領導。 IMS是開發先進極紫外光刻(EUV)所需的多波束掩模寫入工具的行業領導者,這些工具廣泛應用於尖端技術節點,可實現最苛刻的計算應用。在英特爾看來,獲得台積電和貝恩資本的投資,將為IMS提供了更高的獨立性和自主性,加速其增長,並推動光刻技術創新的下一階段,使行業過渡到新的系統模式,比如下一代的high-NA EUV。 台積電業務發展高級副總裁張凱文表示,自2012年以來,台積電就與IMS展開了合作,開發用於先進技術節點的多波束掩模寫入器,而這次的投資將延續雙方的長期合作夥伴關系,以加速創新並實現更深層次的跨行業合作。 IMS成立於1985年,位於奧地利維也納,在2009年獲得了英特爾的投資,並在2015年最終被英特爾收購。自從被英特爾收購以後,IMS的員工和產能提高了四倍,先後開發了三代產品,為英特爾帶來了客觀的收益。 ...

台積電或與英偉達及博通合作,推進矽光子技術開發

隨著人工智慧(AI)和高性能計算(HPC)的快速發展,對更快的數據中心互連的需求日益增長,傳統技術正在努力跟上時代的步伐,光互連成為了解決電子輸入/輸出(I/O)性能擴展的一種可行性解決方案。利用矽材料製造光電子器件,既能結合矽材料在成熟製造工藝、低成本和高集成度等優勢,又能發揮光子學在高速傳輸與高帶寬等方面的優點。 據相關媒體報導,台積電(TSMC)已經組織了一支大約由200名專家組成的專門研發團隊,專注於如何將矽光子學應用到未來的晶片。傳聞台積電打算與英偉達及博通(Broadcom)等廠商合作,共同推進矽光子技術的開發。其中涉及的元器件覆蓋45nm到7nm製程技術,預計相關產品最早於2024年下半年獲得訂單,2025年將進入大批量生產階段。 由於數據傳輸速率的提升,功耗和熱管理變得更加關鍵,業界提出的解決方案包括使用光電共封裝(CPO)技術,將矽光子元件與專用集成晶片封裝在一起。台積電相關負責人表示,如果能提供一個良好的矽光子整合系統,就能解決能源效率和AI算力兩大關鍵問題,現在可能處於一個新時代的開端。 不少科技巨頭都在推動整合光學和矽技術,比如英特爾。英特爾實驗室在2021年12月還成立了互連集成光子學研究中心,以推動數據中心集成光子學方面的研究和開發工作,為未來十年的計算互連鋪平道路。在更早之前,英特爾還展示了集成關鍵光學技術構件模塊的矽平台,包括了光的產生、放大、檢測、調制、CMOS接口電路和封裝集成。 ...

台積電稱由於CoWoS封裝產能緊張,英偉達AI GPU供應短缺或持續到2025年

過去的幾個月里,以ChatGPT為首的人工智慧工具在全球范圍內掀起了一股熱潮,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高。這也讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產品變得緊張,還緊急訂購新的設備,預計要將2.5D封裝產能擴大40%以上,以滿足英偉達不斷增長的需求。 據Nikkei Asia報導,台積電董事長劉德音近日在公開活動中承認,人工智慧(AI)的興起需要巨大的算力,相關GPU需求激增導致CoWoS封裝產能緊張,現階段無法100%滿足客戶的需求,只能盡力做到滿足80%左右的需求。 劉德音認為CoWoS封裝產能不足只是暫時現象,隨著台積電擴大封裝產能,問題應該會在未來一年半內得到緩解。這意味著英偉達的數據中心GPU在供應上會在未來一段時間內可能都處於短缺的狀態,至少不會短時間內解決。 英偉達的迫切需求加上台積電不能短時間內提升封裝產能,這也讓其他廠商看到了機會。此前三星已向英偉達建議,可以從台積電拿到製造好的晶片,然後從三星的存儲器業務部門采購HBM3,並使用三星的I-Cube 2.5D封裝來完成後續的工作。 近期有報導稱,三星已經與英偉達簽署協議,最快從2023年10月開始供應HBM3晶片,預計2024年最多可以拿到英偉達30%的HBM3訂單。三星希望再接再厲,藉此機會獲得2.5D封裝的訂單。 ...

三星:我們將擊敗台積電 率先為美國奉上4nm

台積電、三星這兩年紛紛加大投資力度,在世界各地興建新的先進晶圓廠,尤其是美國,三星更是信心膨脹。 三星聯系CEO慶桂顯(Kyung Kye-hyun)在一次演講中表示,三星對於在美國德克薩斯州的新廠十分看好,計劃在2024年底投入量產,可生產一系列4nm級別工藝的晶圓,包括SF4E、SF4、SF4P、SF4X、SF4A。 台積電在美國亞利桑那州的Fab 21,同樣規劃了4nm工藝產線,但量產時間已經跳票到了2025年。 台積電聲稱是美國工人不熟練,耽誤了進度,但美國工人和工會堅決否認。 如果一切順利,三星無疑將給台積電予以相當的壓力,也會沖擊Intel——後者計劃2024年做好20A、18A工藝的投產准備。 根據集邦咨詢的統計,2023年第二季度全球代工市場上,台積電以56.4%的份額遙遙領先,不過環比丟掉了3.8個百分點,三星則收獲1.8個百分點來到了11.7%。 另外,格芯6.7%、聯電6.6%、中芯國際5.6%、華虹3.0%、高塔半導體1.3%,都略有增長或維持穩定。 來源:快科技

Intel未來兩年或外包2760億元:製造還是要拆分?

Intel IDM 2.0戰略分為內部製造、外包、對外代工三大部分,其中外包就是改變以往完全自家產品自家造的傳統,部分交給台積電這樣的代工廠去做。 如此一來,可以大大減輕自家工廠的技術、產能壓力,充分利用成熟的代工生產線,非常有利於降本增效。 比如即將發布的酷睿Ultra(Meteor Lake),採用分離式模塊架構,其中核心的CPU部分是自己的Intel 4工藝,其他諸如SoC、IO部分則外包給台積電。 據高盛分析,2024年、2025年,Intel的外包訂單量預計分別可達186億美元、194億美元,合計380億美元(約合人民幣2730億元)。 台積電自然是大頭,預計明後年分別可從Intel獲取56億美元、97億美元的訂單,合計153億美元(約合人民幣1110億元)。 對於台積電來說,這有望分別占其年收入的6.4%、9.4%。 半導體行業分析師Andrew Lu評論認為,財務獨立核算之後,Intel製造業務的對手不是自家的設計部門,而是台積電、三星這樣的代工廠,一旦工藝不達標、無法滿足晶片設計需求,訂單就會交給外部代工廠。 他甚至進一步預測,最終,Intel製造業務、設計業務會分道揚鑣,拆分成為兩家獨立公司。 來源:快科技

英特爾計劃增加未來兩年外包量,台積電將獲得更多訂單

英特爾CEO帕特-基爾辛格(Pat Gelsinger)在兩年前的「英特爾加速創新:製程工藝和封裝技術線上發布會」上,公布了最新工藝路線圖,力求在四年裡邁過Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A共5個製程節點,目標半導體製造工藝可以在2025年趕上台積電(TSMC),同時圍繞「IDM 2.0」戰略打造世界一流的英特爾代工服務(IFS)。 據Trendforce的消息,英特爾自10nm製程節點起,就一直在努力解決工藝升級延遲的問題,同時決定改變內部晶圓代工業務模式,將設計與製造業務分離,內部的設計部門與製造業務部門之間將建立起「客戶-供應商」的關系。隨著新產品生產的需要,英特爾計劃在2024年和2025年將擴大外包的訂單量,除了自己的製造部門外,很大部分將流向台積電,而且占比會變得更高。 研究機構指出,英特爾在2024年和2025年的外包訂單金額分別為186億美元和194億美元,其中台積電將分別獲得其中56億美元和97億美元的訂單,約占台積電對應年份預估整體營收的6.4%和9.4%。有行業分析師稱,英特爾的製造部門需要與台積電競爭,而不是將時間精力浪費在與設計部門的糾纏上,而設計部門為了與競爭對手爭奪市場,現在更希望與台積電展開密切的合作。 英特爾在今年6月份召開了「代工模式投資者網絡研討會(Intel Internal Foundry Model Investor Webinar)」,宣布其晶片製造業務部門將單獨運營,且財報也是獨立的,將從2024年第一季度開始施行。從長遠來看,走向類似於AMD拆分Global Foundries(格羅方德)模式的可能性較大,問題是英特爾要找到合適的投資者。 ...

台積電2024年營收增長可能放緩,折扣優惠將帶來更多不確定性

得益於蘋果和英偉達的訂單,台積電(TSMC)至少在今年第四季度,營收方面不需要太過擔心。不過隨著電子消費市場持續放緩,加上晶片需求一直處於低迷,對台積電明年的業績增長會帶來較大壓力,也讓不少人懷疑台積電是否能維持自新冠疫情爆發後的高增長趨勢。 據Wccftech報導,台積電2023年第三季度的收入在165億美元至175億美元之間,由於蘋果和英偉達的強勁需求,預計2023年第四季度的收入將環比增長7%至9%,將達到186億美元左右。台積電年內已經兩次下調了營收的預期,如果情況良好,應該不會有第三次。不過接下來情況可能會變得復雜,面對全球經濟眾多不確定性,前景似乎沒那麼樂觀。 來自供應鏈的消息稱,明年中端市場及非汽車類晶片的需求可能會繼續走軟,更多地是需求急劇下降後的緩慢復蘇。蘋果在今年第四季度的訂單過後,預計iPhone庫存接近8600萬台,之後下單可能會變得謹慎。英偉達或許對台積電有所幫助,人工智慧(AI)需求推高了相關GPU的訂單量。 總體而言,台積電正面臨訂單量放緩的情況。有業內人士預計,台積電可能會根據客戶的采購情況,提供高達5%的折扣優惠,這一定程度上也給2024年的營收增長帶來了更多不確定性。 ...

GlobalFoundries批評德國補貼台積電的做法,認為自己應該獲得更多支持

此前台積電(TSMC)宣布,公司董事會已核准在德國興建半導體工廠的計劃,將與博世、英飛凌和恩智浦半導體共同投資位於德國德勒斯登的歐洲半導體製造公司(ESMC),以提供先進的半導體製造服務。其中台積電將占有合資公司70%的股權,其餘博世、英飛凌和恩智浦半導體三家各占10%的股權。 據相關媒體報導,GlobalFoundries(格羅方德)反對德國政府補貼台積電的做法,認為會進一步加強台積電在晶圓代工領域的市場領導地位。在GlobalFoundries看來,台積電的大額補貼不符合歐洲的法律。其政府和法律事務的負責人Saam Azar表示,一旦德國政府和台積電在布魯塞爾正式注冊該項目,有可能向歐盟委員會提出正式的申訴。 台積電計劃中的新建300mm晶圓廠將採用22/28nm平面CMOS和12/16nmFinFET工藝技術,預計月產能為4萬片晶圓,目標是2024年下半年開始動工建設,2027年年底投入生產。項目投資總額預計超過100億歐元,其中歐盟和德國政府的補助將高達50億歐元,也就是說占了大概一半。 GlobalFoundries正在游說德國政府尋求類似的支持,原因是其在德勒斯登的晶圓廠已運營了25年,但期間獲得的援助遠遠少於台積電的新項目。這些晶圓廠來自於AMD,是德勒斯登地區半導體產業最為重要的組成部分,所以GlobalFoundries認為理應得到政府補助。 ...

美國工人怒斥台積電:施工延誤 100%是你們管理問題

8月24日消息,此前台積電曾表示,美國亞利桑那州晶圓廠進度落後的主要原因是當地缺乏熟練的工人,但是當地的工會並不認可,認為是台積電在故意找藉口,以便低薪引入的外籍勞工。 近日,又有台積電亞利桑那州晶圓廠的工人爆料稱,台積電管理不善和行政混亂才是導致進度延後的主要原因,甚至強調“施工延誤 100%是管理問題”。 台積電投資400億美元在美國亞利桑那州興建的晶圓廠總共兩期工程,一期工程是4nm的產線,原計劃是2024年量產,但是由於實際進度落後於預期,台積電已經將4nm晶圓廠的量產時間推後到了2025年。 對於延後的原因,台積電董事長劉德音在之前的法說會上曾表示,美國亞利桑那州廠正面臨一些挑戰,主要為熟悉半導體設備的專業人員不足致使建廠進度落後。因此,台積電計劃從台灣加派人員至美國進行支援,以加快項目進度。 為此,有傳聞稱,台積電計劃派遣500 名台灣技術人員赴美國協助工廠的建設和培訓工作。 但是當地代表了超過15,000名建築工會勞的工會——亞利桑那建築貿易協會對於台積電說法予以了駁斥。 該工會會展Aaron Butler表示,亞利桑那州的工人先前已有為英特爾晶圓廠裝機的數十年經驗,台積電只是利用工程延宕做為引進外國勞工、降低薪資成本的藉口。 他還表示,台積電無法明確說明,亞利桑那州工人究竟缺乏哪些技能或特定訓練,需要台灣工人指導。 隨後,台積電回應稱,亞利桑那州廠正在最尖端且精巧的製造設施處理最先進和精密設備的關鍵階段,需要有熟練經驗的專業人員執行特定工程,暫時從台灣調派專業人員至亞利桑那州支持,以使晶圓廠邁向量產。 截至目前,從台灣調派至亞利桑那州的人數尚未確定,但人數相當有限,且只短期停留亞利桑那州支持特定任務,不會影響當地1.2萬名現場人員,也不會影響台積電的美國招聘。 台積電還強調,通過一小群經驗豐富專業人員短期支持,並培訓當地人員技術,有機會加速項目進度,以最終帶動當地經濟效益、創造數千個高薪工作機會,並推動產業創新。 台積電並沒有要以外籍工作人員取代當地工作人員,並持續優先考慮聘用亞利桑那州當地人員。 但是亞利桑那建築貿易協會並不買帳,他們甚至還發起請願書,並聯系了國會議員,請求迅速採取行動,保護台積電亞利桑那工廠的美國工人免遭外國工人搶走工作,並阻止該公司對海外工人申請的500多個EB-2工作簽證。 請願書中還提到,盡管台積電通過“晶片法案”獲得了龐大財務補貼,但它對美國工人缺乏尊重,將利潤置於工人安全之上,並故意歪曲亞利桑那州勞動力的素質、技能和經驗。 用外國建築工人取代亞利桑那州的工人直接違背了“晶片法案”頒布的初衷,也就是為美國工人創造就業機會。 因此,追究台積電的責任並保護美國工人的工作機會是很重要的。 根據媒體Businesss Insider的最新報導,一位在台積電亞利桑那州晶圓廠工作了約一年的切管機工人透露,“台積電一直說我們拖慢了他們的進度,但卻沒有提供我們需要的資訊。如果你給我們提供正確的信息,我們大多數人都能勝任”。 這名切管機工人認為:“台積電希望你用盡可能少的信息、盡可能快的速度來完成工作。建設延誤‘100% 是管理問題。’” 美國工人並非沒有建廠的技能,而是沒有獲得足夠資源來完成工作,過去許多工人都有在英特爾從事過類似工作,因此知道事情不一定非得這樣做。 他繼續說道,“英特爾通常會給一個資料包,上面寫著:‘嘿,這是我希望你製造的設備;這是最後期限;這些是標准’,但台積電卻恰恰相反,他們只說‘建造這個’,沒有藍圖、沒有規劃,他們認為每個人都知道如何完成工作,但我無法讀懂他們的想法”,而且台積電幾乎是通過參考電子郵件和圖片來完成,不是他習慣的大量藍圖,而且還有難以解讀的註解。 該切管機員工還認為,台積電及主要承包商應該為管理問題負主要責任。 此外,他還表示,工地違反安全規定的狀況也很常見,而且許多台灣工人是穿網球鞋、而非靴子,沒有護目鏡或手套。 這名切管機工人並非唯一提出安全問題的工人,有許多工人都認為施工現場的受傷和違反安全規定情況很普遍。 對此,台積電強調,公司定期根據已知的安全標准對其進行審核,並根據各州和整個美國的數據對安全記錄進行內部審核。 在亞利桑那州,其可記錄安全事故率比全美國報告的數字低近 80%。 來源:快科技
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

台積電之後 韓國晶片工廠也關停光刻機了:僅一半產線運轉

快科技8月24日消息,由於半導體市場需求不足,台積電最近被傳出將EUV光刻機關停一部分,類似的還有韓國半導體工廠,光刻機等設備也要熱停機,開工率只有一半左右。 據報導,韓國晶片公司DB Hitek目前的產能利用率只有73.83%,較去年同期的的97.68%大降23%以上。 他們的產能利用率還算是高的,三星半導體、Key Foundry 和SK海力士的產能利用率更低,只有40-50%之間,不得不對光刻機等設備進行熱停機維護。 半導體工廠通常是24小時不間斷生產的,所謂熱停機也不是說完全斷電,而是非斷電情況下運轉,不讓機器過貨即可,最終不生產產品,但設備也沒有完全停掉。 如果是徹底斷電停機,等需求提升的時候再啟動,就要重新來一遍調試等工作,很復雜。 除了熱停機降低成本之外,韓國晶片工廠也跟台積電、聯電、世界先進一樣降價拉攏客戶,代工廠已將8英寸晶圓服務降低了約10%,部分代工服務的價格降幅高達20%。 來源:快科技

英特爾將在馬來西亞建造先進封裝設施,與台積電爭奪新市場

繼先進位程激戰之後,英特爾、台積電和三星又將戰場擴大至3D先進封裝領域,最近各自均開始了新的部署,開發更為先進的封裝技術。其中貫徹IDM 2.0戰略的英特爾,近期首次曝光了其在馬來西亞的封裝與測試計劃。 據DigiTimes報導,英特爾APJ總經理Steven Long表示,英特爾將加快進軍先進封裝領域,繼美國俄勒岡州和新墨西哥州後,馬來西亞的封裝和測試工廠也將進行擴建,預計明年將開始投產,到2025年末,三期廠房總計Foveros 3D先進封裝產能將比2023年增加四倍。對於在馬來西亞的半導體投資,英特爾不會感到陌生,已經有超過50年的歷史。 由於先進位程工藝已逼近物理極限,接下來先進封裝技術可能成為半導體製造工藝的勝負關鍵,晶圓代工廠都希望能提供覆蓋前端和後端的完整服務,投下巨資研發先進的2.5/3D封裝技術,將日月光等傳統的封裝與測試廠阻隔在外。其中台積電就穩穩地奪下了不少先進封裝訂單,比如英偉達H100 GPU,採用了CoWoS封裝,即便產能不足,其他廠商也只能取得少量訂單。 台積電去年還啟動了3D Fabric聯盟,為半導體設計、存儲器模塊、基板技術、測試、製造和封裝提供全方位的一流解決方案和服務。AMD接下來多款晶片採用了Chiplet設計,都會在台積電以SoIC搭配CoWoS量產。此外,蘋果也在規劃SoIC搭配InFO的封裝方案,最快會在2025年量產,也將由台積電負責。 據了解,英特爾目前已在馬來西亞投資了80億美元,下一階段還會再投資60億美元,將再建造一座3D先進封裝廠和一座測試廠。目前亞馬遜AWS已成為首家採用英特爾代工服務(IFS)封裝解決方案的客戶,近期還與EDA大廠Synopsys合作,更好地為Intel 3/18A製程節點服務。英特爾還完成了封裝整合光學訊號傳輸,光元器件透過EMIB連接,提升頻寬並降低功耗。 ...