Home Tags Tsmc

Tag: tsmc

台積電將為2nm晶圓廠安裝設備,計劃2024年4月開始執行

近日台積電(TSMC)在IEEE國際電子元件會議(IEDM 2023)上再次強調,下一代的2nm製程節點會在2025年實現量產,將為旗下半導體工藝首次引入Gate-all-around FETs(GAAFET)電晶體技術。 據LTN報導,台積電將為台灣新竹科技園的2nm晶圓廠安裝設備,計劃2024年4月開始執行,這預示著台積電N2工藝項目的重大進展,屬於重要的里程碑。為晶圓廠安裝設備一般的周期為一年,會有多台來自ASML的極紫外(EUV)光刻工具,然後還需要時間驗證。由於台積電從未公布過2nm晶圓廠的時間表,外界只能從其他方面的蛛絲馬跡了解到其中的進展情況。 台積電為了站穩先進位程的領先位置,內部已組建了名為「One Team」的團隊,沖刺2nm製程節點的開發、試產和量產等工作,包括推動其位於台灣新竹寶山和高雄兩地晶圓廠的同步試產及2025年的量產。團隊里除了研發人員,還有前期負責生產的晶圓廠工程師。 此前有報導稱,台積電在台灣的北部(新竹寶山)、中部(台中中科)和南部(高雄楠梓)都有重大投資,興建2nm晶圓廠。其中位於新竹科技園寶山用地二期會興建Fab20晶圓廠,共規劃了四座12英寸晶圓廠(P1-P4),是新一代N2工藝的啟動點,將安排在2024年下半年進入風險性試產。 ...

台積電首次提及1.4nm工藝正在研發中,對2nm工藝信心滿滿

近日,台積電(TSMC)在IEEE國際電子元件會議(IEDM 2023)上透露,其1.4nm製程節點的研發工作已全面展開,進展順利,同時再次強調下一代的2nm製程節點會在2025年實現量產。 據TomsHardware報導,這是台積電首次對外披露其1.4nm製程節點的情況,其對應工藝的正式名稱為「A14」。至於A14工藝的具體規格和量產時間,暫時還不清楚。按照台積電的計劃,N2工藝計劃在2025年底量產,N2P工藝則是2026年底,有理由相信A14工藝的推出時間大概在2027年至2028年之間。 盡管台積電正在探索下一代堆疊式CFET架構電晶體技術,不過A14工藝不太可能採用,更可能依賴於第二代或第三代Gate-all-around FETs(GAAFET)電晶體技術,這一點應該與N2工藝相同。此外,也不清楚台積電是否會在A14工藝上啟用High-NA EUV光刻機,新設備的引入或許會為晶片設計人員和晶片製造商帶來一些新挑戰。像N2和A14這樣的前沿半導體工藝,需要系統級協同優化,才能真正發揮作用,最終將性能、功耗和功能提升到新的水平。 去年三星在「Samsung Foundry Forum 2022」上,公布了未來的技術路線圖,其中SF1.4(1.4nm級別)工藝預計會在2027年量產,納米片的數量從3個增加到4個,有望顯著改善性能和功耗的表現。從時間上來看,台積電的A14工藝應該與三星的SF1.4工藝差不多。 對於外界盛傳三星在2nm上采降價策略搶奪訂單,台積電董事長劉德音表示「客戶還是看技術的品質」,似乎對下一代工藝非常有信心。 ...

2023Q3排名前十晶圓代工廠營收環比增長7.9%,IFS首次進入前十名

根據TrendForce最新的統計數據,顯示隨著終端及IC客戶庫存陸續消化至健康水平,以及下半年iPhone和Android都相繼推出新機型等有利因素影響,帶動了2023年第三季度的智慧型手機、筆記本電腦相關零部件的急單。由於短期市況不明,高通脹風險仍然存在,因此廠商備貨僅以急單方式進行。 台積電(TSMC)和三星的3nm製程節點均貢獻了營收,為產業帶來正面效應,也帶動了2023年第三季度前十晶圓代工廠的營收增長,環比增長7.9%至282.9億美元。 排名第一的台積電受惠於PC、智慧型手機零部件的急單回補,加上3nm製程節點在本季度貢獻了約6%的營收,使得先進位程(7nm及以下)的營收占比已達到近六成,而整體營收環比增長10.2%至172.5億美元;第二的三星通過高通中低端驍龍5G蘋果、基帶,還有28nm的OLED DDI等訂單加持,整體營收環比增長14.1%至36.9億美元;第三的格羅方德(GlobalFoundries)與上個季度幾乎齊平,約18.5億美元;雖然排在第四的聯華電子(UMC)也得到急單支撐,不過整體營收環比減少1.7%,約18億美元;中芯國際(SMIC)也優惠於季節性調整,主要以智慧型手機急單為主,整體營收環比增長3.8%至16.2億美元。 第六至第十名里,變化最大的是英特爾代工業務(IFS),排名大幅度上升,也首次出現在前十的榜單中。得益於今年下半年筆記本電腦季節性拉貨等因素,加上先進位程的貢獻,整體營收環比增長34.1%至3.1億美元,排在了第九位。 展望第四季度,在年底購物旺季的心理預期下,智慧型手機和筆記本電腦供應鏈的急單有望延續,其中以前者更為明顯,預計2023年第四季度前十晶圓代工廠的營收將繼續環比增長,且漲幅高於第三季度。 ...

傳台積電拿下英特爾140億美元訂單,未來產品將更加依賴外包生產

此前有報導稱,隨著新產品生產的需要,英特爾計劃在2024年和2025年將擴大外包的訂單量,除了自己的製造部門外,很大部分將流向台積電(TSMC),而且占比會變得更高,雙方將展開更為密切的合作。 據TomsHardware報導,半導體分析報告顯示台積電拿下了英特爾超過140億美元的訂單,其中2024年將近40億美元,而2025年則超過100億美元。據了解,台積電明年底可能會為英特爾准備每月1.5萬片晶圓的3nm產能,到了2025年將提高至每月3萬片晶圓。最快在2025年,英特爾將成為台積電前三大客戶,也是3nm製程節點的第二大客戶。 有分析師表示,台積電代工能力太強,直言「一旦使用就很難再回去」,雖然英特爾至今仍然堅持只是外包GPU和I/O模塊等晶片,但從Lunar Lake開始,將包括計算模塊,這是英特爾首次外包生產高性能x86內核。假設英特爾內部產能不變,每年代工產能加持19~20%總產能,這意味著代工產品的營收貢獻在2024年將達到28%,到2025年更是高達44%。 使用台積電代工能帶來英特爾諸多好處,包括使用更為先進的工藝技術、降低製造與製程研發成本、節省資本開支以便支付更多現金股利,另外還能降低折舊費用,並且提供更有競爭力的產品價格。 ...

台積電董事長:英偉達將成為全球最大晶片公司

得益於人工智慧(AI)和高性能計算(HPC)前所未有的需求水平,英偉達的數據中心業務再次成為了亮點,計算卡出貨量不斷提高。同時英偉達的股票也成為了華爾街的寵兒,今年出現了連續數月的攀升。 據Wccftech報導,英偉達GPU的供應離不開其主要代工廠台積電(TSMC),而後者的董事長劉德音在近期的采訪中表示,確信英偉達在今年底將成為世界上最大的晶片公司,認為英偉達正處於全球半導體供應鏈的頂端。劉德音還介紹了台積電在人工智慧領域的地位,以及所使用的技術方法,通過引入人工智慧,可以簡化公司運營,並輔助技術研發,提高了整體效率。 幾天前英偉達公布的2024財年第三財季財報顯示,其營收又一次創下了新紀錄,收入為181.2億美元,同比增長206%,環比增長34%,而且繼續在快速增長當中,第四財季預計達到200億美元。另一方面,AMD和英特爾的季度營收分別為58億美元和141.6億美元,這意味著兩者相加才與英偉達相當。此外,英偉達目前市值為1.19萬億美元,而AMD和英特爾分別為1980億美元和1850億美元。 ...

德國政府挪用預算被裁定違憲,或影響英特爾和台積電新建晶圓廠補助

今年台積電(TSMC)和英特爾先後宣布在德國興建半導體工廠的計劃,前者將與博世、英飛凌和恩智浦半導體共同投資位於德國德勒斯登的歐洲半導體製造公司(ESMC),後者將在馬格德堡興建兩座新的晶圓廠。之所以選擇在德國建設新的晶圓廠,能獲得大額的補貼是重要因素之一。 台積電和英特爾在歐洲新建晶圓廠獲得的補貼主要有兩個來源:一個是歐盟本身,這是7月份通過的《歐洲晶片法案》的一部分內容;另外一個是各個國家政府,德國政府已經向台積電和英特爾承諾,分別獲得大概50億和100億歐元的補貼。 據ComputerBase報導,德國憲法法院日前裁定德國聯邦政府預算挪用違憲,其中也涉及到對半導體企業的補助,相關資金的審批已經停滯,這些項目的補貼可能會重新審查,存在一定的不確定性,補貼金額可能會被削減甚至取消。 如果得不到及時的政府資金,台積電和英特爾的新建晶圓廠項目可能需要自己額外增加資本支出或者在其他項目里重新分配資金,另外也有可能縮小項目規模、方面項目建設進度、或者尋求其他的投資者。有業界從業人員稱,如果補貼承諾無法兌現,可能會對台積電和英特爾的生產和經營方式產生影響。 ...

台積電2024H1產能利用率重回80%,蘋果開始拉動3nm產能放量

2023年半導體產業並沒有按預計那樣在第二季度復蘇,即便第四季度庫存已接近谷底,但受限於總體經濟狀況,反彈似乎還是太早了。隨著庫存情況繼續改善、車用市場回暖、以及人工智慧(AI)需求爆發,台積電在2024年似乎迎來了健康成長,且表現優於整體市場。 據DigiTimes報導,台積電2023年全年營收跌幅有可能比預期更小一些,這主要得益於年底前產能利用率回升,而且2024年上半年有可能重新回到80%以上。市場需求主要來自終端設備需求回升和人工智慧需求拉升,高通、英偉達和AMD等客戶擴大了投片的規模。 另外一個重要因素,是台積電報價1萬美元以上的7nm以下工藝占整體營收的比重持續提高,同時CoWoS封裝訂單也在攀升,而且有著美元強勢的加持。當然,台積電頭號客戶蘋果功不可沒,有著穩健表現的iPhone,加上各種Mac和iPad新品,報價達2萬美元的3nm工藝開始放量明顯帶動了近期台積電的營收。 有業內人士表示,台積電至今年底,6/7nm產能利用率能守住70%,4/5nm產能利用率接近80%,3nm月產能大概在每月6至7萬片晶圓,預計明年底會提升至每月10萬片晶圓。不過需要注意的是,台積電3nm工藝的良品率較低,明顯影響了毛利率,即便到明年也會有較大壓力。 ...

台積電提高明年月度CoWoS產能目標:提升20%以滿足市場需求

進入2023年後,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產能變得緊張,不得不緊急擴大2.5D封裝產能。此前有報導稱,經過台積電幾個月的努力,目前CoWoS封裝產能已提高至每月15000片,英偉達占用了其中40%的部分,而AMD則占據了8%。 據相關媒體報導,台積電已經計劃提高明年月度CoWoS產能目標,在原有基礎上提升20%,達到每月35000片晶圓,以滿足激增的市場需求。過去一段時間里,由於台積電CoWoS產能不足,導致英偉達數據中心GPU長期供應緊缺,同時其他幾間主要的晶片設計公司也希望獲得更多的CoWoS產能,以製造更為先進的晶片。 近日台積電董事長劉德音曾向媒體表示,正在努力滿足客戶對於CoWoS產能的需求,但只能支持大約80%的潛在訂單。隨著英偉達H200的到來,對CoWoS產能的需求只高不低,有消息人士稱,可能要消耗掉台積電60%的CoWoS產能。 事實上,提高先進封裝的產能並不完全在台積電的掌控范圍內,畢竟需要供應鏈的配合,比如材料也要相對應增加產量。台積電總裁兼聯合行政總裁魏哲家已經表態,到2024年底要將CoWoS產能翻一番,這是現階段制約先進晶片生產的關鍵點。 ...

台積電已將CoWoS封裝產能提高至每月1.5萬片,英偉達占用了其中的40%

過去的幾個月里,以ChatGPT為首的人工智慧(AI)工具興起,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產能變得緊張,不得不緊急擴大2.5D封裝產能,以滿足不斷增長的需求。 據Wccftech報導,經過台積電的不斷努力,目前CoWoS封裝產能已提高至每月15000片,其中英偉達占用了其中40%的部分,而AMD則占據了8%。英偉達急劇增加的訂單也促使台積電在今年早些時候啟動了對應的流程,以應付CoWoS封裝產能的提升。傳聞到2024年上半年。台積電可能會將CoWoS封裝產能進一步提高至每月20000片。 如果對比之前報導中出現的數據,可以發現台積電的CoWoS封裝產能提升速度比預期的要更快。數月前有消息稱,台積電計劃2023年底前將現有的CoWoS封裝產能從每月8000片提高到11000片,到2024年底時再進一步提高到14500片至16600片,現在台積電已提前達成目標。 此外,台積電還與多家企業合作,將部分封裝訂單外包出去,這可以提升20%的產能。其中包括了日月光(ASE)和聯華電子(UMC)都在9月接到了訂單,在英偉達的封裝供應鏈中發揮關鍵作用。英偉達正計劃將其封裝供應鏈多元化,已聯系日月光和聯華電子提供相關技術,作為台積電的後備緩解部分壓力。 ...

台積電表示其N3P與Intel 18A技術相當,N2推出時將是最先進工藝

在英特爾2021年公布的「四年五個製程節點」的半導體工藝路線圖里,Intel 7和Intel 4已實現大規模量產;Intel 3正在按計劃推進,目標是2023年底;Intel 20A和Intel 18A進展順利,目標是2024年,將採用RibbonFET全環繞柵極電晶體和PowerVia背面供電技術。英特爾堅信,如果能按時推進項目,那麼到2025年憑借Intel 18A就能夠重新回到領先位置。 據The Motley Fool報導,近日台積電總裁兼聯合行政總裁魏哲家在公司財報電話會議上表示,台積電內部評估顯示,N3P工藝在性能與能效上與Intel 18A技術相當,但上市時間更早,技術上也更為成熟,而且成本還要低得多。同時還重申台積電的N2工藝優於競爭對手的Intel 18A,2025年推出時將成為半導體行業最先進的技術。 在英特爾看來,Intel 20A和Intel 18A分別屬於2nm和1.8nm級別,有可能比台積電同級別製造工藝更早上線,而且有RibbonFET全環繞柵極電晶體和PowerVia背面供電技術加持,所以技術上領先於對方。顯然台積電持不同的看法,雖然其3nm製程節點的N3、N3E、N3P和N3X等工藝僅依賴於成熟的FinFET電晶體和傳統的供電設計,但是技術運用上更為嫻熟,實際效果並不比對方差。 台積電計劃在2nm製程節點採用Gate-all-around FETs(GAAFET)電晶體,同時將使用背面供電(BSPD)技術來提高性能,製造的過程仍依賴於現有的極紫外(EUV)光刻技術。台積電認為引入新一代技術後,N2工藝將在功率、性能、面積上全面勝出。 ...

台積電公布2023Q3財報:先進工藝收入占比近六成

台積電(TSMC)昨天公布了2023年第三季度業績,顯示收入達到了5467.3億新台幣(約合人民幣1236.7億元),同比下降10.8%,環比增加13.7%。若以美元計算,收入為172.8億美元,同比下降14.6%,環比增加10.2%,這一數字在台積電此前的預期值內(167億美元到175億美元之間)。 台積電在2023年第三季度的淨利潤為2110億新台幣(約合人民幣477.28億元),攤薄後每股收益為新台幣8.14元(1.29美元每ADR單位),相比去年同期兩者均減少了24.9%。如果與2023年第二季度的財報比較,2023年第三季度的淨利潤增加了16.1%。 在2023年第二季度,台積電的毛利率、營業利潤率和稅後純益率分別為54.1%、42%和37.8%。到了2023年第三季度,台積電的毛利率略微增加至54.3%,營業利潤率降至41.7%,同時稅後純益率增加到38.6%。 在2023年第三季度里,3nm、5nm和7nm工藝的出貨量分別占總收入的6%、37%和16%,三者相加達到了銷售金額的59%,高於上一季度的53%,隨著3nm工藝進入大批量生產階段,目前先進工藝占據了台積電接近六成的收入。目前在台積電的定義里,7nm或更先進的工藝都稱為先進工藝。 台積電表示,2023年第三季度的營收得益於3nm工藝的量產和市場對5nm工藝有了更大的需求,不過部分收益被客戶持續的庫存調整所抵消。台積電預計2023年第四季度的業績得到3nm工藝的有力支持,不過部分增益仍會被客戶的庫存調整抵消,該季度的收入將在188億美元至196億美元之間(假設新台幣兌換美元的平均匯率為32兌1),毛利率在51.5%至53.5%之間,營業利潤率在39.5%至41.5%之間。 ...

台積電創始人斷言:IFS將繼續停留在台積電陰影下

近年來,世界各國爭相推動半導體產業發展,作為世界晶圓代工的龍頭,台積電(TSMC)也面臨著各種的問題。據相關媒體報導,最近台積電創始人張忠謀在出席公開活動期間,就台積電未來面臨的挑戰,以及戰略定位發表了自己的看法。 張忠謀表示,盡管台積電的成功要歸功於運營效率和大量投資研發,但隨著地緣政治變化和競爭加劇,接下來台積電將面臨越來越多的困難。雖然英特爾近兩年不斷推動其IDM 2.0戰略,為打造世界一流的英特爾代工服務(IFS)投入了不少資源,同時還得到了美國政府的大力支持,但張忠謀認為英特爾不會對台積電構成實質性的威脅,除非在技術、良品率和定價等方面都提升至台積電的水平才會有機會。張忠謀並不太擔心這種情況發生,稱台積電可以克服困難,而英特爾代工服務仍將繼續停留在台積電陰影下。 此外,張忠謀強調了半導體行業全球化和自由貿易的趨勢減弱,原有的政策和商業戰略被國家安全問題所取代,不過台積電依然能在半導體供應鏈中扮演重要角色。由於地緣政治緊張局勢加劇,其他競爭對手可能會利用這一點來獲得與台積電競爭中的優勢,台積電將面臨更多的挑戰。 ...

英特爾和台積電披露下一代CFET電晶體進展,未來將取代GAA技術

據eeNewEurope報導,英特爾和台積電(TSMC)即將在IEDM 2023上公布下一代CFET電晶體的進展情況。未來堆疊式CFET架構將取代GAA(Gate-All-Round),成為新一代電晶體設計。 CFET電晶體將n和p兩種MOS器件相互堆疊在一起,需要使用高精度和高功率的High-NA EUV光刻機來製造。其概念最初由IMEC研究所於2018年提出,雖然早期探索研究主要集中在學術界,不過英特爾和台積電已經冒險進入該領域,積極展開下一代電晶體架構的研究。 目前英特爾已建立了一個單片式3D CFET,將三條n-FET納米片分布覆蓋在三條p-FET納米片之上,並保持30nm的垂直間隙。英特爾將報告取名為「採用電源通孔和直接背面組件觸點60nm閘極間距的堆棧式CMOS逆變器演示」,描述了在60nm閘極間距上使用CFET的功能逆變器測試電路。該設計還採用垂直分層雙電源漏外延和雙金屬閘極堆棧,並結合了英特爾的PowerVia背面供電技術。 台積電將討論其實際操作CFET的方法,這是為製造邏輯晶片而量身定製的,具有48nm柵極間距。台積電的設計強調了層狀n型納米片電晶體置於p型電晶體之上,使其具有跨越六個數量級的開/關電流比。台積電已經證明了其設計的耐用性,90%以上的電晶體成功經受住了測試。不過台積電也承認,要充分利用CFET技術的能力,還有更多的功能需要吸收,目前正在進行的工作是實現這一目標的關鍵一步。 CFET技術為電晶體設計帶來了明顯的轉變,其允許垂直堆棧兩個電晶體安裝在一個電晶體的面積內,可進一步提升晶片上電晶體的密度。這種設計不僅為提高空間使用提供解決方案,而且還促進了更精簡的CMOS邏輯電路布局,有利於提高設計效率。CFET的既有結構可以減少寄生效應,從而為提高性能和功率效率創造了可能。此外,還能與背面供電等新技術相結合,可簡化製程技術的復雜性。 ...

三星和台積電均遭遇難題:在3nm工藝良品率上掙扎

目前三星和台積電(TSMC)都已在3nm製程節點上實現了量產,前者於2022年6月宣布量產全球首個3nm工藝,後者則在同年12月宣布啟動3nm工藝的大規模生產,蘋果最新發布的iPhone 15 Pro系列機型上搭載的A17 Pro應用了該工藝。 據ChosunBiz報導,雖然三星和台積電都已量產了3nm工藝,不過兩者都遇到了良品率方面的問題,都正在努力提高良品率及產量。三星在3nm工藝上採用下一代GAA(Gate-All-Around)電晶體技術,而台積電沿用了原有的FinFET電晶體技術,無論如何取捨和選擇,似乎都沒有逃過同一個難題,在新的製程節點都沒有達到預期的良品率。 按照台積電的規劃,在3nm製程節點上至少有5個不同的工藝,其中2個可以投產,接下來還會有N3P、N3X和N3AE。相比之下三星規劃的工藝數量更少一些,只有3個,且僅有1個投產,就是目前稱為3GAE的工藝,未來還會有3GAP和3GAP+。 據了解,目前三星和台積電在3nm工藝上的良品率分別為60%和50%,距離70%的及格線顯然還差不少。從紙面數據來看,三星的良品率更高一些,但其基於的數字局限於某款加密貨幣所使用的專用晶片上,顯然缺乏說服力。有業內人士表示,三星實際的良品率可能還不到50%,想要吸引大客戶至少要達到70%以上。 ...

台積電推出3Dblox 2.0,3DFabric聯盟將繼續推動3D IC創新

去年台積電(TSMC)宣布啟動3DFabric聯盟。這是半導體行業第一個與合作夥伴加速3D IC生態系統的創新聯盟,為半導體設計、存儲器模塊、基板技術、測試、製造和封裝提供全方位的一流解決方案和服務。 去年台積電帶來了3Dblox開放標准,旨在模塊化和簡化半導體行業的3D IC設計解決方案,現在已成為未來3D集成電路發展的關鍵推動者。台積電在今年的OIP論壇上,宣布推出新的3Dblox 2.0開放標准,具備早期3D IC設計能力,以進一步提高設計效率。台積電還會成立3Dblox委員會,作為一個獨立的標准組織,目標是創建一個行業范圍的規范,使系統設計能夠使用來自任何供應商的小晶片。 3Dblox 2.0通過創新的早期設計解決方案,為3D架構探索提供了可行性研究,業界首次將功率規格和3D物理結構放在一個整體環境中,並模擬整個3D IC系統的功率和發熱。同時3Dblox 2.0還支持晶片設計重用功能,如晶片鏡像,以進一步提高設計效率。目前3Dblox 2.0已贏得了台積電EDA主要合作夥伴的支持,開發了完全支持台積電所有3DFabric產品的設計解決方案。 在過去一年裡,3DFabric聯盟發展迅速,繼續推動存儲、基板、測試、製造及封裝集成的發展,使其全面的3D晶片堆疊和先進的封裝技術更容易為每個客戶所使用。目前台積電致力於為客戶提供全方位的成熟解決方案和服務,3DFabric聯盟的合作夥伴數量也由最初的19個增加至21個。 ...

英偉達已向台積電3nm工藝下單,Blackwell架構B100將於2024Q4到來

目前台積電(TSMC)已量產了3nm工藝,不過直到現在也僅有蘋果一個大客戶下單,且搭載於iPhone 15 Pro系列的A17 Pro在能效方面的表現並不太好。傳聞台積電3nm工藝報價達到2萬美元的高價,加上半導體行情持續低迷,不少台積電的大客戶都修改了原定的計劃,推遲採用3nm工藝,至少要等到2024年下半年才導入。 憑借人工智慧(AI)對數據中心GPU的強勁需求,英偉達是少數能在市場不景氣的大環境裡逆勢而起的科技公司,大量的訂單一定程度上彌補了台積電營收下降的缺口。據DigiTimes報導,英偉達近期還與台積電簽下了3nm工藝的訂單,而且打算趁熱打鐵,提前至2024年第四季度推出Blackwell架構B100,在數據中心市場繼續壓制其他競爭對手,早於原來計劃的2025年。 AMD最早採用3nm工藝的是EPYC伺服器處理器,如無意外也會在2024年下半年出現。隨著市場回暖,高通和聯發科也計劃在2024年下半年採用台積電的3nm工藝。雖然三星更早進入3nm代工市場,不過截至目前幾乎所有大的訂單都是集中在台積電手上。 此前有報導稱,台積電的3nm產能利用率可能會下降,2024至2025年年間大概在每月7萬片到8萬片晶圓。即便現在接連傳出利好消息,台積電的3nm產能規劃仍然比較保守,現階段對2024年3nm月產能規劃也就提升至10萬片晶圓。 值得注意的還有英特爾,由於高度不確定性,台積電並沒有將其納入統計中。如果下一代ArrowLake如傳言那樣將部分計算模塊改用台積電的3nm工藝,那就是額外的進補。 ...

隨著供應鏈成本上升,台積電製造的AI晶片或變得更貴

雖然半導體行業整體正處於低迷之中,不過人工智慧(AI)領域卻是另一番景象。英偉達的數據中心GPU收獲了大批量的訂單,加上其他科技企業的緊急訂單,讓負責製造及封裝的台積電(TSMC)變得忙碌,先進封裝方面的產能也變得更加緊張。 現在制約台積電出貨的主要是這些人工智慧晶片所需要的封裝產能,沒有封裝光有晶片是不完整的,為此台積電在努力平衡晶片製造和封裝的產能,以便及時向客戶交付產品。據相關媒體報導,隨著台積電積極擴大先進封裝產能,供應鏈的規模也變得更大了,其中一些中間商的價格開始出現上漲,最終很可能會推高台積電製造人工智慧晶片的成本,產品會變得更貴。 面對人工智慧產品的強勁需求,台積電投資了數十億美元來提升封裝產能,比如今年7月份,台積電宣布投資28.9億美元在台灣興建一家新的封裝和測試廠。台積電希望到2024年下半年,能夠將封裝產能提高至每月3萬片,以緩解先進封裝產能不足帶來的壓力。 台積電還從聯華電子(UMC)采購CoWoS封裝所需要的中介層,目前已收到第一批訂單。由於台積電急於在本財年結束前滿足大批量人工智慧晶片訂單的需求,聯華電子計劃將其位於新加坡的工廠的產能提高一倍,從每月3000片提升至6000片。據了解,如果台積電的生產線實在難以應付,還會將部分封裝訂單外包給日月光。 ...

台積電或受益於PC庫存調整,英偉達追加訂單亦將助力營收

由於過去一段時間半導體行業的趨勢變化,台積電(TSMC)或多或少受到了影響,近期接連傳出要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備、2nm製程節點延期、可能再次下調營收預期等消息。 據Wccftech報導,隨著蘋果發布了iPhone 15系列智慧型手機,加上9月份進入最後一周,台積電似乎可以松一口氣,今年已不太可能再次下調營收預期,而且明年的營收預期可能還會更好一些。後背原因是庫存過剩的問題逐漸得到了解決,PC市場的需求也正在恢復,不少廠商選擇回補下單,明年台積電的訂單量可能會回升。 另外一個好消息是英偉達繼續追加數據中心GPU的訂單,加上AMD等廠商的一些緊急訂單,讓台積電可以維持較高的產能利用率,為其業績提供了更有力的保障。現在最大的問題是,台積電在先進封裝方面的產能十分緊張,為此在原有產能擴充目標基礎上,再追加了30%的先進封裝設備訂單,這也凸顯了當下人工智慧(AI)市場的火熱。據了解,英偉達是目前台積電CoWoS封裝的最大客戶,占據了60%的產能。 預計台積電會在明年上半年逐步完成先進封裝設備的交機和裝機,而先進封裝月產能也從原來的1.5萬片到2萬片晶圓,提升至2.5萬片以上,這也讓台積電有更充裕的先進封裝產能承接用於人工智慧晶片的訂單。台積電希望到2024年下半年,能夠緩解先進封裝產能的壓力。 ...

台積電2nm製程節點或延期,新工藝量產將推遲到2026年

台積電(TSMC)在2nm製程節點將首度使用Gate-all-around FETs(GAAFET)電晶體,同時製造過程仍依賴於極紫外(EUV)光刻技術,原計劃2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批採用N2工藝製造的晶片。 據TechNews報導,台積電在台灣的北部(新竹寶山)、中部(台中中科)和南部(高雄楠梓)都有重大投資,興建2nm工廠,而供應鏈最新消息指出,新竹寶山的建設項目已經放緩,這將影響原來的量產計劃。有業內人士推測,真正實現量產可能要推遲到2026年。 台積電在竹科寶山二期興建Fab20晶圓廠,共規劃了四座12英寸晶圓廠(P1-P4),是新一代N2工藝的啟動點,原先安排在2024年下半年進入風險性試產,2025年進入量產階段。由於受到半導體需求下降、客戶采購不明朗等因素影響,台積電開始放緩了工廠的建設。高雄的新廠幾乎與寶山同步啟動,原計劃僅比後者慢一個月,現在不確定是否也會放慢速度。台中的新廠計劃已經得到了當地主管部門的批准,不過要等到明年才開始動工,傳聞隨著計劃的改變,台積電或許會讓其跳過2nm製程節點,直接遞進到1.4nm製程節點。 與三星在3nm製程節點就已引入GAA電晶體架構不同,台積電在3nm製程節點上仍使用FinFET電晶體架構。由於技術難度較大,三星3nm GAA工藝在量產初期就遇到了良品率方面的挑戰,台積電很可能也會面臨類似的問題。傳聞市場因素結合技術原因,讓台積電決定將N2工藝的研發生產時間延後,量產時間較大機率推遲到2026年。 ...

蘋果最快於2026年採用台積電2nm工藝,英偉達或會跟進用於製造AI晶片

近日,蘋果正式發布了iPhone 15系列智慧型手機。其中iPhone 15 Pro和iPhone 15 Pro Max兩款機型搭載了新款A17 Pro,這是蘋果首款採用3nm工藝製造的晶片,由台積電(TSMC)負責製造,這也是業界首個3nm的同類晶片。 眾所周知,蘋果是台積電的最大客戶,占據了後者大概四分之一的收入。同時蘋果總是率先引入台積電最先進的半導體製造工藝,並能優先分配到產能,讓其在業界競爭中處於領先的位置。據Wccftech報導,蘋果的A18 Pro和A19 Pro會採用台積電不同版本的3nm工藝,最快會在2026年的A20 Pro才改用2nm工藝,這也是蘋果首款採用2nm工藝的SoC,假設蘋果繼續使用「Pro」後綴。 台積電總裁魏哲家去年曾表示,N2製程節點將如預期那樣使用Gate-all-around FETs(GAAFET)電晶體,製造的過程仍依賴於現有的極紫外(EUV)光刻技術,預計2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批2nm晶片。 有報導稱,台積電2nm代工價接近2.5萬美元,比現有3nm代工價高出了約25%,而蘋果將成為新工藝的首個客戶,iPhone的定價很可能水漲船高,繼續抬升。傳聞過渡到2nm工藝之前,蘋果會以N3E、N3P和N3X的順序在3nm製程節點上遞進。 英偉達或許會跟進蘋果,在2026年採用台積電2nm工藝製造下一代人工智慧晶片。目前台積電、蘋果和英偉達都投資了Arm,這將有利於台積電加強與蘋果和英偉達的合作,並確保2nm訂單。 ...

台積電正考慮在美國建立先進封裝設施,與亞利桑那州晶圓廠做垂直整合

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,原計劃第一階段工程完工後,生產線會在2024年開始投入使用,採用的是N4和N5系列工藝。不過由於受到多重因素影響,Fab21大規模生產的時間可能會延後至2025年。 雖然擁有先進工藝的晶圓廠,不過在當地缺乏相對應的封裝設施,隨著半導體電路小型化變得更加困難,Chiplet技術變得越來越重要,先進封裝成了不少代工廠近期關注的重點。據相關媒體報導,台積電已經就建設先進封裝廠與亞利桑那州當地政府談判,探討相關的可能性,以便在未來打造擁有垂直整合的晶片生產鏈。 過去的幾個月里,以ChatGPT為首的人工智慧工具在全球范圍內掀起了一股熱潮,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高,這也讓台積電的先進封裝產能變得緊張,為此還緊急訂購新的設備,以滿足英偉達不斷增長的需求。 現階段台積電本身就有擴大先進封裝產能的需求,而且Fab21是面向當地客戶的晶片生產基地,配套打造先進封裝設施似乎也在情理之中。不過台積電並沒有承認有關先進封裝廠的談判,只是對未來幾年建立更加緊密的合作表示樂觀。 ...

台積電或大幅下調資本支出,3nm產能利用率也會降低

台積電(TSMC)近期受到了全球整體經濟不景氣、終端市場需求減弱、以及客戶不斷進行庫存調整的影響,導致產能出現了暫時性下降。此前有報導稱,台積電已要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備,其中包括了ASML(阿斯麥),主要原因是客戶需求存在不確定性。 台積電表示將專注於全球供應鏈的「多樣性」,沒有過多解釋背後的原因,但外界對於其前景多了幾分擔憂。據Ctee報導,高盛證券認為台積電在2023年的資本支出將保持穩定,大概在316億美元左右,不過調低了2024年的資本支出預期,從280億美元下調至250億美元,這意味著明年會有超過20%的降幅。台積電的3nm產能利用率預計也會下降,2024至2025年年間大概在每月7萬片到8萬片晶圓,低於原先每月8萬片到9萬片的預期。 高盛證券每月解釋下調台積電收入預期的原因,可能與市場變化及台積電的政策調整有關。雖然台積電在全球多處有新建晶圓廠的工程,不過隨著產能需求減弱,最終還是選擇削減了資本支出的預算,減緩了部分項目的進度。 不過高盛證券對於台積電在行業中的領導地位持樂觀態度,因為一定程度上已經有壟斷性,特別是高性能計算和人工智慧領域的晶片製造方面,訂單量仍然保持穩定,收入應該會保持同比增長。 ...

台積電要求供應商推遲交付設備,其中包括ASML

過去一段時間里,台積電(TSMC)的營收受到了全球整體經濟不景氣、終端市場需求減弱、以及客戶不斷進行庫存調整的影響。雖然台積電在全球多處有新建晶圓廠的工程,不過隨著產能需求減弱,最終還是選擇削減了資本支出的預算,減緩了部分項目的進度。 據相關媒體報導,台積電已要求其主要晶片製造工具供應商推遲交付晶圓廠所需要的設備,原因是客戶需求存在不確定性,以及位於美國亞利桑那州的在建晶圓廠Fab21的工程面臨延期。這些供應商中包括了ASML(阿斯麥),合理推測台積電打算延後接收光刻設備,這也是晶圓廠里最昂貴的工具之一。 據了解,目前台積電同時進行的晶圓廠項目除了美國的Fab21外,還有兩處在台灣、一處在日本,德國的項目剛剛敲定還沒有開工。此外,台積電還需要不斷為現有的晶圓廠添加工具,以提高產能,顯然現在遇到了挫折,希望通過延遲接受設備放緩資本支出。台積電總裁魏哲家此前曾表示,疲軟的經濟環境及客戶變得更加保守的趨勢,都可能影響台積電的決策。 ASML執行長Peter Wennick此前接受媒體采訪時承認,目前設備訂單交付上出現了一些延遲,但仍保持樂觀的態度,認為這種情況只是短期的管理挑戰。盡管面臨較多不確定性,但ASML預計2023年的業績仍能實現強勁增長,淨銷售額將增長30%,毛利率將相對於2022年會略有改善。 ...

台積電美國工廠將建造試驗生產線,2024Q1將小批量試產

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,原計劃第一期生產線會在2024年開始投入使用,採用的是N4和N5系列工藝。不過由於半導體設施缺乏安裝設備所需要的專業人員,Fab21大規模生產的時間可能會延後至2025年,大概會晚一年。 雖然整個項目的工程進度延誤了,不過台積電仍保持樂觀的態度,努力化解遇到的各種難題。據Money DJ報導,為了確保新建的晶圓廠能夠順利投產,並滿足部分需求,台積電打算先建一條小規模的試驗生產線,並在2024年開始製造晶片。 據了解,這條小規模的試驗生產線預計會在2024年第一季度投入使用,每月的產能在4000片到5000片晶圓之間。台積電策略的改變,或許是為了減少因工廠延誤而導致潛在違約造成的損失,部分客戶的訂單可能指定要在Fab21完成。考慮到Fab21本身設計的產能為每月2萬片晶圓,試驗生產線的規模並不大,不過已經可以滿足當地部分用戶的需求。 有消息稱,蘋果、AMD和英偉達等大客戶可能會將部分訂單轉移到台積電其他地區的晶圓廠,以避免耽誤新產品的發布。不過有人擔心,在其他晶圓廠臨時加單可能出現不必要的搶奪產能情況。 ...

台積電考慮擴大日本工廠規模,或引入更先進的製程工藝

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,不過近期遇到了許多問題,比如缺乏安裝設備所需要的專業人員,很可能迫使台積電將大規模生產的時間將延後。 據Wccftech報導。除了文化差異等諸多因素延誤了台積電美國工廠的項目進度外,對項目成本估計不足也是台積電近期所要面臨的問題之一,傳聞現在所需要的建設成本已比原計劃高出了20%,比日本的項目高出了50%。在美國所遇到的問題讓台積電在德國的項目上變得更加小心謹慎,有所不同的是,日本的項目似乎異常順利,這也讓台積電有了更多的想法。 日本的半導體產業本身也是有著良好的基礎,而且當地的製造業也有著較高的晶片需求。此前台積電與索尼和Denso合作,在日本九州島的熊本縣投資打造了新的生產基地,計劃生產22/28nm晶片。有消息人士透露,台積電發現日本工廠的成本要比美國工廠低得多,而且雙方在文化上更加相近,工作上遇到的摩擦也更少,台積電考慮擴大日本工廠的規模,或許還會引入更先進的製程工藝。 台積電的日本工廠計劃明年開始投入生產,美國工廠可能要延後至2025年,而德國工廠預計在2027年末投入使用。 ...

英特爾向台積電出售IMS約10%股份,交易價格約為4.3億美元

英特爾宣布,已同意將IMS Nanofabrication business(簡稱IMS)約10%股份出售給台積電(TSMC),預計交易在2023年第四季度完成。台積電董事會已批准以4.328億美元的價格進行收購,意味著對IMS的估值約在43億美元左右,這與最近英特爾向貝恩資本出售約20%股份的估價是一致的。英特爾將保留IMS多數股權,後者將繼續作為前者的獨立子公司運作,由執行長Elmar Platzgummer博士領導。 IMS是開發先進極紫外光刻(EUV)所需的多波束掩模寫入工具的行業領導者,這些工具廣泛應用於尖端技術節點,可實現最苛刻的計算應用。在英特爾看來,獲得台積電和貝恩資本的投資,將為IMS提供了更高的獨立性和自主性,加速其增長,並推動光刻技術創新的下一階段,使行業過渡到新的系統模式,比如下一代的high-NA EUV。 台積電業務發展高級副總裁張凱文表示,自2012年以來,台積電就與IMS展開了合作,開發用於先進技術節點的多波束掩模寫入器,而這次的投資將延續雙方的長期合作夥伴關系,以加速創新並實現更深層次的跨行業合作。 IMS成立於1985年,位於奧地利維也納,在2009年獲得了英特爾的投資,並在2015年最終被英特爾收購。自從被英特爾收購以後,IMS的員工和產能提高了四倍,先後開發了三代產品,為英特爾帶來了客觀的收益。 ...

台積電或與英偉達及博通合作,推進矽光子技術開發

隨著人工智慧(AI)和高性能計算(HPC)的快速發展,對更快的數據中心互連的需求日益增長,傳統技術正在努力跟上時代的步伐,光互連成為了解決電子輸入/輸出(I/O)性能擴展的一種可行性解決方案。利用矽材料製造光電子器件,既能結合矽材料在成熟製造工藝、低成本和高集成度等優勢,又能發揮光子學在高速傳輸與高帶寬等方面的優點。 據相關媒體報導,台積電(TSMC)已經組織了一支大約由200名專家組成的專門研發團隊,專注於如何將矽光子學應用到未來的晶片。傳聞台積電打算與英偉達及博通(Broadcom)等廠商合作,共同推進矽光子技術的開發。其中涉及的元器件覆蓋45nm到7nm製程技術,預計相關產品最早於2024年下半年獲得訂單,2025年將進入大批量生產階段。 由於數據傳輸速率的提升,功耗和熱管理變得更加關鍵,業界提出的解決方案包括使用光電共封裝(CPO)技術,將矽光子元件與專用集成晶片封裝在一起。台積電相關負責人表示,如果能提供一個良好的矽光子整合系統,就能解決能源效率和AI算力兩大關鍵問題,現在可能處於一個新時代的開端。 不少科技巨頭都在推動整合光學和矽技術,比如英特爾。英特爾實驗室在2021年12月還成立了互連集成光子學研究中心,以推動數據中心集成光子學方面的研究和開發工作,為未來十年的計算互連鋪平道路。在更早之前,英特爾還展示了集成關鍵光學技術構件模塊的矽平台,包括了光的產生、放大、檢測、調制、CMOS接口電路和封裝集成。 ...

台積電稱由於CoWoS封裝產能緊張,英偉達AI GPU供應短缺或持續到2025年

過去的幾個月里,以ChatGPT為首的人工智慧工具在全球范圍內掀起了一股熱潮,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高。這也讓負責製造及封裝的台積電(TSMC)在先進封裝方面的產品變得緊張,還緊急訂購新的設備,預計要將2.5D封裝產能擴大40%以上,以滿足英偉達不斷增長的需求。 據Nikkei Asia報導,台積電董事長劉德音近日在公開活動中承認,人工智慧(AI)的興起需要巨大的算力,相關GPU需求激增導致CoWoS封裝產能緊張,現階段無法100%滿足客戶的需求,只能盡力做到滿足80%左右的需求。 劉德音認為CoWoS封裝產能不足只是暫時現象,隨著台積電擴大封裝產能,問題應該會在未來一年半內得到緩解。這意味著英偉達的數據中心GPU在供應上會在未來一段時間內可能都處於短缺的狀態,至少不會短時間內解決。 英偉達的迫切需求加上台積電不能短時間內提升封裝產能,這也讓其他廠商看到了機會。此前三星已向英偉達建議,可以從台積電拿到製造好的晶片,然後從三星的存儲器業務部門采購HBM3,並使用三星的I-Cube 2.5D封裝來完成後續的工作。 近期有報導稱,三星已經與英偉達簽署協議,最快從2023年10月開始供應HBM3晶片,預計2024年最多可以拿到英偉達30%的HBM3訂單。三星希望再接再厲,藉此機會獲得2.5D封裝的訂單。 ...

英特爾計劃增加未來兩年外包量,台積電將獲得更多訂單

英特爾CEO帕特-基爾辛格(Pat Gelsinger)在兩年前的「英特爾加速創新:製程工藝和封裝技術線上發布會」上,公布了最新工藝路線圖,力求在四年裡邁過Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A共5個製程節點,目標半導體製造工藝可以在2025年趕上台積電(TSMC),同時圍繞「IDM 2.0」戰略打造世界一流的英特爾代工服務(IFS)。 據Trendforce的消息,英特爾自10nm製程節點起,就一直在努力解決工藝升級延遲的問題,同時決定改變內部晶圓代工業務模式,將設計與製造業務分離,內部的設計部門與製造業務部門之間將建立起「客戶-供應商」的關系。隨著新產品生產的需要,英特爾計劃在2024年和2025年將擴大外包的訂單量,除了自己的製造部門外,很大部分將流向台積電,而且占比會變得更高。 研究機構指出,英特爾在2024年和2025年的外包訂單金額分別為186億美元和194億美元,其中台積電將分別獲得其中56億美元和97億美元的訂單,約占台積電對應年份預估整體營收的6.4%和9.4%。有行業分析師稱,英特爾的製造部門需要與台積電競爭,而不是將時間精力浪費在與設計部門的糾纏上,而設計部門為了與競爭對手爭奪市場,現在更希望與台積電展開密切的合作。 英特爾在今年6月份召開了「代工模式投資者網絡研討會(Intel Internal Foundry Model Investor Webinar)」,宣布其晶片製造業務部門將單獨運營,且財報也是獨立的,將從2024年第一季度開始施行。從長遠來看,走向類似於AMD拆分Global Foundries(格羅方德)模式的可能性較大,問題是英特爾要找到合適的投資者。 ...

台積電2024年營收增長可能放緩,折扣優惠將帶來更多不確定性

得益於蘋果和英偉達的訂單,台積電(TSMC)至少在今年第四季度,營收方面不需要太過擔心。不過隨著電子消費市場持續放緩,加上晶片需求一直處於低迷,對台積電明年的業績增長會帶來較大壓力,也讓不少人懷疑台積電是否能維持自新冠疫情爆發後的高增長趨勢。 據Wccftech報導,台積電2023年第三季度的收入在165億美元至175億美元之間,由於蘋果和英偉達的強勁需求,預計2023年第四季度的收入將環比增長7%至9%,將達到186億美元左右。台積電年內已經兩次下調了營收的預期,如果情況良好,應該不會有第三次。不過接下來情況可能會變得復雜,面對全球經濟眾多不確定性,前景似乎沒那麼樂觀。 來自供應鏈的消息稱,明年中端市場及非汽車類晶片的需求可能會繼續走軟,更多地是需求急劇下降後的緩慢復蘇。蘋果在今年第四季度的訂單過後,預計iPhone庫存接近8600萬台,之後下單可能會變得謹慎。英偉達或許對台積電有所幫助,人工智慧(AI)需求推高了相關GPU的訂單量。 總體而言,台積電正面臨訂單量放緩的情況。有業內人士預計,台積電可能會根據客戶的采購情況,提供高達5%的折扣優惠,這一定程度上也給2024年的營收增長帶來了更多不確定性。 ...

GlobalFoundries批評德國補貼台積電的做法,認為自己應該獲得更多支持

此前台積電(TSMC)宣布,公司董事會已核准在德國興建半導體工廠的計劃,將與博世、英飛凌和恩智浦半導體共同投資位於德國德勒斯登的歐洲半導體製造公司(ESMC),以提供先進的半導體製造服務。其中台積電將占有合資公司70%的股權,其餘博世、英飛凌和恩智浦半導體三家各占10%的股權。 據相關媒體報導,GlobalFoundries(格羅方德)反對德國政府補貼台積電的做法,認為會進一步加強台積電在晶圓代工領域的市場領導地位。在GlobalFoundries看來,台積電的大額補貼不符合歐洲的法律。其政府和法律事務的負責人Saam Azar表示,一旦德國政府和台積電在布魯塞爾正式注冊該項目,有可能向歐盟委員會提出正式的申訴。 台積電計劃中的新建300mm晶圓廠將採用22/28nm平面CMOS和12/16nmFinFET工藝技術,預計月產能為4萬片晶圓,目標是2024年下半年開始動工建設,2027年年底投入生產。項目投資總額預計超過100億歐元,其中歐盟和德國政府的補助將高達50億歐元,也就是說占了大概一半。 GlobalFoundries正在游說德國政府尋求類似的支持,原因是其在德勒斯登的晶圓廠已運營了25年,但期間獲得的援助遠遠少於台積電的新項目。這些晶圓廠來自於AMD,是德勒斯登地區半導體產業最為重要的組成部分,所以GlobalFoundries認為理應得到政府補助。 ...

英特爾將在馬來西亞建造先進封裝設施,與台積電爭奪新市場

繼先進位程激戰之後,英特爾、台積電和三星又將戰場擴大至3D先進封裝領域,最近各自均開始了新的部署,開發更為先進的封裝技術。其中貫徹IDM 2.0戰略的英特爾,近期首次曝光了其在馬來西亞的封裝與測試計劃。 據DigiTimes報導,英特爾APJ總經理Steven Long表示,英特爾將加快進軍先進封裝領域,繼美國俄勒岡州和新墨西哥州後,馬來西亞的封裝和測試工廠也將進行擴建,預計明年將開始投產,到2025年末,三期廠房總計Foveros 3D先進封裝產能將比2023年增加四倍。對於在馬來西亞的半導體投資,英特爾不會感到陌生,已經有超過50年的歷史。 由於先進位程工藝已逼近物理極限,接下來先進封裝技術可能成為半導體製造工藝的勝負關鍵,晶圓代工廠都希望能提供覆蓋前端和後端的完整服務,投下巨資研發先進的2.5/3D封裝技術,將日月光等傳統的封裝與測試廠阻隔在外。其中台積電就穩穩地奪下了不少先進封裝訂單,比如英偉達H100 GPU,採用了CoWoS封裝,即便產能不足,其他廠商也只能取得少量訂單。 台積電去年還啟動了3D Fabric聯盟,為半導體設計、存儲器模塊、基板技術、測試、製造和封裝提供全方位的一流解決方案和服務。AMD接下來多款晶片採用了Chiplet設計,都會在台積電以SoIC搭配CoWoS量產。此外,蘋果也在規劃SoIC搭配InFO的封裝方案,最快會在2025年量產,也將由台積電負責。 據了解,英特爾目前已在馬來西亞投資了80億美元,下一階段還會再投資60億美元,將再建造一座3D先進封裝廠和一座測試廠。目前亞馬遜AWS已成為首家採用英特爾代工服務(IFS)封裝解決方案的客戶,近期還與EDA大廠Synopsys合作,更好地為Intel 3/18A製程節點服務。英特爾還完成了封裝整合光學訊號傳輸,光元器件透過EMIB連接,提升頻寬並降低功耗。 ...

台積電否認下調營收預期,美國工廠已安裝首台EUV設備

台積電在今年7月公布第二季度業績的時候,就表示受到了全球總體經濟形勢的影響,終端市場需求疲軟,供應鏈的問題持續時間比預期的要長,於是年內第二次下調了營收的預期,預計2023年營收將下降10%。 據Wccftech報導,由於半導體行業增長放緩,正面臨全面的沖擊,傳出了台積電可能年內第三次下調營收預期,可能會進一步調整為營收下降12%。台積電對這類消息予以否認,表示仍然會保持原有的預期。 事實上,證券市場對台積電的表現也並不是那麼有信心。最近台積電的股價走勢也較為一般,不少人認為在需求放緩、庫存周轉率低和整體成本高企的情況下,台積電自由現金流下降會損害其支付股息。此外,傳言台積電從7月份開始就變相降價,主要涉及8英寸晶圓代工的模擬IC晶片,降幅主要在10%至20%之間,最高降幅達到了30%,這多少會對業績有影響。 當然,台積電在先進工藝方面仍然處於領先位置,而且需求相對比較穩定,這部分業務占據了其大部分收入。有分析師預計,台積電在今年下半年的表現會好於上半年,這主要得益於蘋果新產品的訂單,同時英偉達面向AI的數據中心產品熱銷也有促進作用。 由於半導體設施缺乏安裝設備所需要的專業人員,位於美國亞利桑那州的Fab21大規模生產的時間將延後至2025年,大概比原計劃晚一年。不過有消息稱,一期工程的工廠已開始導入首台EUV設備,台積電希望能增派工作人員到美國,協助Fab21安裝生產工具以及機械和電氣系統,加快工廠的建設進度。 ...

台積電組建「One Team」團隊,以加速2nm工藝的開發和量產工作

去年台積電(TSMC)總裁魏哲家就已確認,2nm製程節點將使用Gate-all-around FETs(GAAFET)電晶體,而製造的過程仍會依賴於現有的極紫外(EUV)光刻技術,預計2024年末將做好風險生產的准備,並在2025年末進入大批量生產,客戶在2026年就能收到首批採用N2工藝製造的晶片。 據Torrent Business報導,台積電為了站穩先進位程的領先位置,內部已組建了名為「One Team」的團隊,沖刺2nm製程節點的開發、試產和量產等工作,包括推動其位於台灣新竹寶山和高雄兩地晶圓廠的同步試產,以及2025年的量產。團隊里除了研發人員,還有前期負責生產的晶圓廠工程師。 為了某一個特定的製程節點專門組建一個特別工作組並不符合台積電的作風,以往都是選定某一間晶圓廠進行試產,然後再將最新的半導體製造技術推廣到其他晶圓廠實現大規模量產。此次台積電針對N2工藝同時啟用了兩個晶圓廠,現在又組建「One Team」的團隊,可見其重視程度。 近期台積電創始人張忠謀出席台積電全球研發中心啟用時,勉勵台積電的員工,要吸取英國海軍的前車之鑒,不要因為新的全球研發中心落成而驕傲自滿,重蹈英國海軍沒落之路。據了解,台積電最近面臨部分成熟製程節點訂單量減少及對手逼近其2/3nm先進位程的雙重壓力,很可能為此罕見地在人力和產能方面做出大的調整。 台積電官方已確認成立「One Team」的團隊,不過沒有透露具體的在編人員數量和執行項目情況。 ...

台積電宣布與博世/英飛凌/恩智浦成立合資公司,預計德國建廠投資超100億歐元

台積電(TSMC)宣布,公司董事會已核准在德國興建半導體工廠的計劃,將與博世、英飛凌和恩智浦半導體共同投資位於德國德勒斯登的歐洲半導體製造公司(ESMC),以提供先進的半導體製造服務。其中台積電將占有合資公司70%的股權,其餘博世、英飛凌和恩智浦半導體三家各占10%的股權。 計劃中的新建300mm晶圓廠將採用22/28nm平面CMOS和12/16nmFinFET工藝技術,預計月產能為4萬片晶圓,將創造約2000個直接的高科技專業工作崗位。歐洲半導體製造公司的目標是2024年下半年開始動工建設,2027年年底投入生產。項目投資總額預計超過100億歐元,包括股權注入、債務借款以及歐盟和德國政府的補助,日常運營將由台積電負責。 台積電總裁魏哲家表示,在德國德勒斯登的投資表明了台積電致力於服務客戶的戰略能力和技術需求,很高興有機會深化與博世,英飛凌和恩智浦半導體的長期合作夥伴關系,而歐洲是一個極具潛力的半導體創新之地,特別是汽車和工業領域,期待著與歐洲的人才一起將這些創新帶到台積電的先進半導體技術上,將創新付諸實踐。 ...

台積電本周內將正式批准在德國建廠,當地政府已同意提供合計50億歐元補貼

此前就有報導稱,台積電(TSMC)已確立德國建廠模式,將與全球最大的汽車零部件供應商博世合作,在德勒斯登建設新晶圓廠,投資金額約為100億歐元,採用面向汽車晶片使用的28nm特殊製程。除了博世以外,台積電還會與恩智浦半導體、英飛凌合作,為晶圓廠提供廣泛的基礎,分散投資的風險。 據相關媒體報導,台積電的董事會將於本周二正式批准在德國建廠,而當地政府已同意提供合計50億歐元補貼。台積電從2021年起就開始為當地設廠做評估,並與德國薩克森州政府討論相關事宜。預計在台積電董事會批准該合資方案後,就會透露有關政府補助的細節。 直到目前為止,台積電和德國政府都還沒有正式確認任何建廠計劃,不過台積電此前已表示,期待在當地建造一家生產微控制器的晶圓廠。據了解,博世將承擔人力、工會和生產效率等方面的責任風險,而恩智浦半導體和英飛凌則幫助台積電規劃和籌集政府的援補貼資金,取得當地政府在水電、土地和減稅等各方面的政策優惠。 為了吸引科技領域的投資,貫徹半導體自主的戰略,歐盟委員會也對相關國家補貼大開綠燈。在巨額補貼之下,台積電和其合作夥伴的計劃很難被拒絕。 ...

英偉達解釋GPU供應問題:取決於封裝,而不是晶片產量

過去幾個月里,以ChatGPT為首的人工智慧(AI)工具在全球范圍內掀起了一股熱潮,對英偉達A100和H100這樣的數據中心GPU的需求大幅度提高。目前英偉達的A100和H100等數據中心GPU都是由台積電(TSMC)負責製造及封裝,SK海力士則供應HBM3晶片。為了滿足市場對數據中心GPU的需求,台積電還緊急訂購新的封裝設備,要將2.5D CoWoS封裝產能擴大40%以上。 近期埃隆-馬斯克(Elon Musk)稱,市場上對數據中心GPU的需求與可供應數量之間不成比例,有著很大的差距,這些GPU「甚至比白色粉末還難買到」。據Computerbase報導,DGX系統副總裁兼總經理Charlie Boyle對此做了澄清了,表示問題不是來自於英偉達對市場需求的錯誤估算,也不是台積電晶片製造的產量或良品率問題,而是出自2.5D CoWoS封裝產能。 2.5D CoWoS封裝是一個多步驟、高精度的工程,復雜程度降低了特定時間內GPU封裝的數量,直接影響了最終的供應鏈。目前來看,2.5D CoWoS封裝產能造成的數據中心GPU供應瓶頸可能比預期的還要嚴重,台積電已表示,大概需要一年半左右的時間,才能讓封裝流程恢復到正常。這意味著英偉達需要有所取捨,因為沒有足夠的時間和能力來封裝所有的產品。 英偉達主導了人工智慧市場,而台積電是少數幾個擁有高性能封裝技術的公司,加上半導體製造工藝方面的領先,這幾乎是當下人工智慧領域不可逾越的組合。位居晶圓代工市場第二名的三星,除了半導體製造工藝外,封裝技術也落後於台積電,目前正加大投資,以縮小雙方之間的差距。 ...

台積電為AMD Instinct MI300系列准備更先進的設備,CoWoS封裝產能或翻倍

台積電(TSMC)在近期的財報電話會議上表示,為了滿足對人工智慧(AI)和高性能計算(HPC)的晶片需求,最近為CoWoS封裝訂購了額外的設備。或許是近期人工智慧熱潮推高了銷售預期,無論台積電還是AMD,似乎對即將到來的Instinct MI300系列GPU/APU的需求十分樂觀。 據DigiTimes報導,隨著Instinct MI300系列的量產,CoWoS封裝產能短缺的狀況可能會加劇。有業內人士表示,台積電預計AMD新款數據中心產品對CoWoS封裝的需求會達到英偉達的一半,顯然這是一個相當樂觀的預期。要知道英偉達圍繞CUDA核心構建的軟體堆棧在人工智慧和高性能計算占據了主導地位,經過十幾年的苦心經營,目前擁有超過90%的計算加速卡市場。 除了英偉達和AMD,亞馬遜、博通和賽靈思等企業也陸續在其數據中心產品中採用CoWoS封裝,這也促使台積電為滿足生產需要盡快向CoWoS封裝下單訂購新設備。據了解,這類生產工具的交付時間不到6個月,台積電應該可以趕在年底前進一步提高產能。有消息稱,台積電計劃2023年底前將現有的CoWoS封裝產能從每月8000片提高到11000片,到2024年底時再進一步提高到14500片至16600片,這意味著至明年年底,CoWoS封裝產能有可能會提升一倍。 有分析師認為,台積電和AMD對於市場的需求過於樂觀,除非有突破性的進展,否則很難實現既定的目標。 ...

台積電推遲美國工廠啟用時間:延期至2025年

目前台積電(TSMC)正在美國亞利桑那州建造新的晶圓廠Fab21,原計劃第一期生產線會在2024年開始投入使用,採用的是N4和N5系列工藝。近日,台積電董事長劉德音在與金融分析師和投資者的財報電話會議上表示,由於半導體設施缺乏安裝設備所需要的專業人員,Fab21大規模生產的時間將延後至2025年,大概會晚一年。 Fab21的一期工程於2021年4月開始,主體建築在2022年中期完成,比原有的時間表略晚了一些。台積電從2022年12月開始安裝設備,按照標准程序,晶圓廠內的潔淨室工具通常需要大約一年的時間安裝。不過由於當地的人員不熟悉台積電的具體要求,導致Fab21在安裝生產工具期間出現了各種延誤。 為了解決該問題,台積電打算從台灣安排約500名工作人員到美國,協助Fab21安裝生產工具以及機械和電氣系統,目前正在申請辦理簽證。台積電派遣的這些經驗豐富的技術人員還要為當地技術工人做短期培訓,以加快進度。 台積電暫時還沒有確定Fab21大規模生產晶片的確切時間表,具體還要取決於生產設備安裝的進度。蘋果、AMD和英偉達的部分訂單可能要重新分配,台積電部分晶圓廠在2024年可能要滿負荷運轉。 ...

台積電公布2023Q2財報:收入同比下降10%,預計Q3會有3nm訂單支持

台積電(TSMC)今天公布了2023年第二季度業績,顯示收入達到了4808.4億新台幣(約合人民幣1111億元),同比下降10%,環比下降5.5%。若以美元計算,收入為156.8億美元,同比下降13.7%,環比下降6.2%,這一數字在台積電此前的預期值內(152億美元到160億美元之間)。 台積電在2023年第二季度的淨利潤為1818億新台幣(約合人民幣420.06億元),同比減少23.3%,攤薄後每股收益為新台幣7.01元(1.14美元每ADR單位),相比去年同期減少了23.3%。如果與2023年第一季度的財報比較,2023年第二季度的淨利潤下降了12.2%。 在2023年第一季度,台積電的毛利率和營業利潤率分別為56.3%和45.5%。到了2023年第二季度,台積電的毛利率和營業利潤率都出現了下滑,分別降至54.1%和42%,同時稅後純益率為37.8%。 在2023年第二季度里,5nm和7nm工藝的出貨量分別占總收入的30%和23%,兩者相加達到了銷售金額的53%,高於上一季度的51%,先進工藝占據了超過一半的收入。目前在台積電的定義里,7nm或更先進的工藝都稱為先進工藝。 台積電表示,2023年第二季度的營收表現受到了全球總體經濟形勢的營銷,終端市場需求疲軟,客戶不斷進行庫存調整。台積電預計2023年第三季度的業績會有3nm工藝強勁量產的支持,不過部分增益會被客戶持續的庫存調整抵消,該季度的收入將在167億美元至175億美元之間(假設新台幣兌換美元的平均匯率為30.8兌1),毛利率在51.5%至53.5%之間,營業利潤率在38%至40%之間。 ...