Home Tags 工藝

Tag: 工藝

Intel 10nm SF工藝將至 能效高出35%

Intel的14nm工藝可以說是DIY玩家的老朋友了,從2015年量產到現在都6年了,期間Intel魔改了三代,最新的是14nm+++工藝,依然是當前桌面酷睿處理器的主力。 10nm工藝好事多磨,2.7倍的電晶體密度指標史無前例,但也帶來了量產上的難題,導致10nm工藝這兩年才開始量產,去年開始生產的是10nm SuperFin工藝(簡稱10nm SF),也就是第二代10nm工藝了。 10nm SF工藝相比14nm+++工藝到底有多大的提升呢?最近有B站UP主作了個測試,對比了酷睿i5-11400H(10nm SF工藝Willow Cove架構)與桌面版的酷睿i5-11400(14nm+++工藝、CypressCove架構)處理器的性能、功耗差距。 酷睿i5-11400H在52W功耗下頻率3.52GHz,酷睿i5-11400在77W功耗下頻率3.4GHz,這時候二者的R20性能差不多,算下來10nm SF的能效提升了35%左右。 當然,較真的話,酷睿i5-11400的架構跟酷睿i5-11400H的也不完全一樣,但後者的WillowCove架構基本上是改進了緩存系統,影響不大。 排除這些細微差距,目前來看10nm SF工藝的提升還是挺明顯的,下半年就要上12代酷睿Alder Lake了,除了會升級GoldenCove高性能架構之外,傳聞工藝也會進一步升級到10nm ESF,也就是增強版的10nm SF,值得期待。 來源:遊民星空

歐盟重申半導體雄心:拿下全球20%份額、量產2nm工藝

全球晶片危機遲遲不見緩解,甚至有惡化的趨勢,歐洲汽車行業受到的沖擊也越來越大。這讓歐盟深刻地意識到,過於依賴美國、韓國等國家和地區的晶片行業,難以保證供應鏈安全。 當地時間周四,歐盟內部市場專員Thierry Breton再次強調,歐盟計劃投入大量資金發展歐洲半導體製造業,以完善其晶片供應鏈。 Breton表示,歐洲需要擴大產能製造中等水平的晶片,才能實現到2030年半導體市場份額增加一倍的目標。 根據歐盟的規劃,屆時歐盟半導體市場份額將占據全球總量的20%,同時還將有能力生產最先進的2納米晶片。 據悉,歐盟正考慮建立一個半導體聯盟,目前有意向加入的包括ASML、恩智浦、STM和英飛凌等歐洲半導體公司。 由於歐洲半導體行業和頂級晶片製造商還有差距,Breton希望能引進國際三大晶片製造商之一(台積電、三星和英特爾),在歐洲建立一個先進的工廠。Breton表示,資金可能來自於歐盟正在推進的幾個項目,例如8000億歐元的新冠復蘇基金,該計劃20%的資金將用於歐洲大陸的數字轉型。 Breton稱,歐盟希望為晶片製造商提供機會,讓他們能夠在歐洲大陸投資,加強供應鏈安全。Breton還表示,希望能盡快在幾個月內採取行動。 ASML總裁Peter Wennink是Breton計劃的支持者,在他看來,歐洲現在開始扶持汽車晶片和邊緣計算等行業是明智的行為,這些領域在5年內非常重要,而歐洲公司在這些領域已經初具優勢。 來源:快科技

150nm、40nm產能最緊張 中芯國際:優先老客戶

中芯國際昨晚公布了2021年財報,,同時產能利用率也達到了98%,幾乎是滿載了。 由於全球半導體晶圓代工製造企業的產能都很緊張,中芯國際也不例外。在財報會議上,聯席CEO趙海軍上表示,0.15微米(150nm)和40nm是缺口最大的地方,55nm也是有非常大的缺口。 換句話說,中芯國際產能最缺的並不是大家想像的5nm/7nm先進工藝,而是成熟工藝,40nm及150nm是最缺的,55nm這樣的工藝同樣緊缺。 產能緊張之後,如何分配也是個問題、趙海軍表示,「公司的產能分配原則,是優先滿足長期與中芯國際合作和共同發展的客戶,其次是考慮高毛利的產品,同時保持與其他客戶的密切溝通,協商保證最重要的需求。」 此外,CFO財務長高永崗表示,由於市場供需缺口巨大,公司業績根據供需關系的變化,經跟客戶溝通,產品價格進行相應的調整。 中芯國際給出第二季度營收預期為環比成長17%到19%,毛利率預期在22%到25%。今年上半年營收預計約人民幣158億元。 來源:快科技

高達聯動日本傳統工藝推出「鐵壺扎古(GREEN)」!

動畫《機動戰士高達》宣布了和日本岩手縣傳統工藝品南部鐵器聯動,推出以扎古為原型的周邊商品「鐵壺扎古(GREEN)」。 這款「鐵壺扎古(GREEN)」是高達與日本傳統工藝聯動的「Discovery-G」系列的第15彈作品。在2018年,系列曾推出過「鐵壺扎古」,這次的新作為過去產品的異色版。商品售價27500日元,約合人民幣1619元。 來源:動漫之家

萬代《高達》最新周邊扎古鐵壺公開 傳統工藝收藏價值十足

萬代玩具5月12日今天宣布,來自《機動戰士高達》中的著名炮灰、扎古聯動日本傳統工藝《扎古鐵壺》公開預購,製作工序極其復雜,造型逼真收藏價值十足。 ·本次《扎古鐵壺》來自日本文化遺產《南部鐵器》的作品,製作工藝及其繁瑣,將扎古的頭部與水壺完美結合,不論造型還是實用性俱佳,堪稱收藏極品。 ·《扎古鐵壺》於5月12日今天開啟預購,尺寸W150mmXD200mmXH165mm,重達2475克,容積約700ML,定價27500日元,感興趣的粉絲可以關註:官方頁。 來源:cnBeta

全球首個 IBM宣布造出2nm工藝的半導體晶片

2021年5月6日,IBM宣布了半導體設計和工藝方面的突破:首款採用2納米技術製造的晶片發布。 IBM的新型2nm晶片技術提升巨大,與當今最先進的7nm節點晶片相比,擁有45%的性能提高,75%的能耗降低。 2nm最新突破基於IBM在半導體創新領域數十年的領導地位之上。該公司的半導體開發工作基於其位於紐約州奧爾巴尼納米技術園區的研究實驗室,IBM科學家在該實驗室與多部門合作夥伴密切合作,共同突破邏輯擴展和半導體功能的界限。 IBM的半導體突破還包括曾經的首次實現7nm和5nm工藝技術,單單元DRAM,Dennard縮放定律,化學放大的光致抗蝕劑,銅互連布線,絕緣體上矽技術,多核微處理器,高k柵極電介質,嵌入式DRAM和3D晶片堆疊等等。IBM的第一項商業化產品將於今年晚些時候在基於IBM POWER10的IBM Power Systems中首次亮相。 在IBM宣布其具有里程碑意義的5nm設計之後不到四年的時間,這項最新突破將使2nm晶片能夠在指甲大小的晶片上容納多達500億個電晶體。 晶片上更多的電晶體意味著處理器設計人員擁有更多選擇,可以注入核心級創新來提高AI和雲計算等前沿功能,也能獲得新的加密途徑,讓硬體更具安全性。 來源:遊民星空

歐盟半導體「不再幼稚」:10年內產能翻倍、搞定2nm工藝

半導體技術的重要性已經無需多提,現在美國、中國、日本、韓國等國家和地區都在大力投資先進半導體工藝,不希望自己被卡脖子,歐盟現在也清醒了,希望搞定2nm工藝。 據報導,歐盟市場專員蒂埃里·布雷頓(Thierry Breton)日前在采訪中表示,歐盟需要恢復以前的市場份額,以滿足行業的需求。 他還提到,多年來歐盟在半導體製造業中的份額下降了,因為該地區過於幼稚、過於相信全球化。 歐盟委員會制定的計劃中,希望2030年將晶片產量翻倍,市場份額提升到20%,為此歐盟正在爭取歐洲地區先進晶片製造商的支持,目前至少有22個國家簽署了意向書。 除了產能翻倍之外,歐盟還計劃製造更先進的晶片,致力於在2030年生產出5nm到2nm的晶片,目前台積電、三星也沒有掌握2nm工藝的生產。 歐盟的這個計劃就是去年底多國聯合推出的《歐洲處理器和半導體科技計劃聯合聲明》,旨在鼓勵歐盟聯合研究及投資先進處理器及半導體工藝。 根據這個計劃,未來兩三年中可能會投入1450億歐元,約合1.2萬億人民幣的資金以推動歐盟國家掌握至關重要的半導體技術。 該聲明指出,目前歐盟的半導體技術與自身經濟地位不匹配,歐盟國家占全球GDP的16%,但在價值4400億歐元的半導體市場上,歐盟國家的份額只有10%。 來源:快科技

GlobalFoundries宣布升級工藝 打造量子計算機用光量子晶片

現代晶片製造企業的目標之一是擁有一系列廣泛的製造工藝技術。這使它能夠滿足盡可能多的客戶。這包括邏輯、嵌入式存儲器、射頻、模擬、高電壓、長壽命周期,以及現在的矽光子學。作為GlobalFoundries和PsiQuantum今天宣布的夥伴關系的一部分,新的專有製造工具已被安裝到GlobalFoundries在紐約Malta最先進的工廠當中。 這將使GlobalFoundries能夠製造光量子晶片,讓PsiQuantum公司可以推出性能達到100萬+光量子比特的量子計算機。 在與PsiQuantum的合作中,位於紐約Malta的Fab 8工廠和位於德勒斯登的Fab 1工廠的一部分擁有專門用於生產核心量子計算機組件的新的專有設備。PsiQuantum的目標是它的Q1系統,一個100萬+光子量子比特的量子計算機,它需要關鍵的半導體組件來運作。PsiQuantum列出了單光子源和單光子探測器(矽光子學部分),以及控制電路,以擴展成一個量子計算解決方案。光量子晶片將在Fab 8建造,而控制晶片將在Fab 1建造。 量子計算的部分大問題是控制量子比特的一致性。如果一個量子比特不穩定,建造它就沒有意義,控制一個量子比特的穩定性都很難,更不用說一百萬個了。為了幫助解決這個問題,量子計算應用了糾錯算法,然而其結果意味著對於一個邏輯量子比特,需要10到100個物理量子比特。這就把問題擴大到了極致。因此,雖然一台1000個邏輯量子比特的計算機對於可以在上面運行的算法來說可能很強大,但由於一致性和控制的要求,實際上可能有100000多個物理量子比特。我們今天還沒有接近1000個邏輯量子比特,其中一部分是需要正確的製造技術來建立這樣一個系統。 PsiQuantum的目標是在十年中期之前能夠組裝出最終的光子量子計算機。GlobalFoundries目前由阿聯主權財富投資基金Mubadala擁有,但正在考慮在未來12個月左右在美國進行IPO。PsiQuantum是一家位於帕洛阿爾托的2016年私人創業公司,擁有超過5億美元的風險投資資金,約100名員工,投資者包括Playground Global、BlackRock、M12和C4 Ventures。 來源:cnBeta

AMD下一代RDNA 3規格曝光:核心不變、採用5nm工藝

近日,有關AMD下一代RDNA 3架構的Navi 3x核心的消息開始流傳。 據推特用戶@KittyYYuko的消息,下一代Navi 33核心將具有目前旗艦級Navi 21核心同樣的規格,如果情況屬實,意味著擁有80個CU計算單元,以及5120個流處理器,同時採用新的RDNA 3架構。 根據此前的爆料,Navi 31核心會採用MCM多晶片封裝,也就是說Navi 31核心會擁有兩個chiplet,雙80個CU計算單元的設計,達到160個CU計算單元、10240個流處理器的規格。 另外Navi 31和Navi 33之間的Navi 32核心,也將採用MCM多晶片封裝,預計會有120-140個CU計算單元。另外Navi 3x核心很可能會採用台積電(TSMC)的新工藝節點製造,比如5nm工藝。 此前,AMD已經為其下一代GPU申請了一項新專利,是一顆有源小晶片,集成了高速緩存,用於多個GPU之間的橋接,可能會用在使用下一代RDNA 3架構的GPU和APU上。 AMD的這顆主動式橋接晶片主要用於GPU晶片之間的高帶寬互聯,會擁有一個共享、統一的最後一級緩存(LLC),將提供跨晶片間通信的同步信號。LLC指的是L3緩存,在目前RDNA 2架構中,L3緩存被稱為Infinity Cache(無限緩存)。 根據AMD的規劃,新一代的Radeon RX系列顯卡要到2022年底或2023年初才亮相,對手是同樣採用MCM多晶片封裝技術的英偉達GPU,比如傳聞中的Lovelace架構產品。 不過近期業界一系列供應短缺可能會影響到各個廠商發布新品,此前推特用戶@kopite7kimi曾表示,英偉達Ampere架構產品的壽命可能會延續到明年年底。 來源:快科技
蘋果M1處理器單量巨大 台積電5nm不夠用、三星或將頂上

蘋果自研M2處理器曝光 5nm增強版工藝、最快7月出貨

4月27日下午最新消息,有來自日本的知情人士對媒體透露,下一代Mac處理器已經在本月投入大規模生產,以進一步取代Intel晶片。 前不久,蘋果發布了搭載M1處理器的iPad Pro、24英寸iMac等產品,不過,外界更感興趣的當然是M1疊代版本。 一些爆料認為新M系處理器是M1X,也有報導將其稱作M2。預計新U將在MacBook筆記本上首發,最早7也開始出貨。 所謂的新MacBook Pro將涵蓋14英寸和16英寸兩款,配備mini LED顯示屏,取消TouchBar觸控條,SD擴展插槽和HDMI接口回歸。 回到晶片本身,根據台積電披露的最新工藝進展和上文的進度信息,M1X/M2採用5nm增強版的可能性較大,畢竟4nm需要2022年才能量產。 據悉,台積電第二代5nm工藝(N5P)相較第一代,功耗降低了10%、性能增加了5%。當然,如果蘋果繼續增加核心規模,比如12核、16核等,最終的表現將非常可觀。 來源:快科技

台積電2nm工藝研發ing:重點改進EUV光刻效率

做為全球最大最先進的晶圓代工廠,台積電在7nm、5nm節點上領先三星等對手,明年面還會量產3nm工藝,接下來則是2nm工藝。 台積電計劃未來三年投資1000億美元,其中先進工藝花費的資金最多,2nm工藝也是前所未有的新工藝,台積電去年稱2nm工藝取得了重大進展,進度比預期的要好。 實際上台積電的2nm工藝沒有宣傳的那麼夸張,此前只是技術探索階段,尋找到了可行的技術路徑。 現在2nm工藝才算是進入了研發階段,重點轉向了測試載具設計、光罩製作及矽試產等方向。 根據台積電的說法,2nm工藝節點上,他們也會放棄FinFET電晶體結構,轉向GAA環繞柵極結構,此前三星更為激進,在3nm節點就會棄用GAA電晶體,不過這兩家的GAA電晶體結構也不會一樣,孰優孰劣還沒定論。 在2nm節點,光刻工藝更加重要,EUV光刻是少不了的,但此前的EUV工藝還存在不少問題,台積電的2nm節點也會重點改進EUV工藝,提高光刻中的質量及效率。 至於量產時間,台積電的2nm工廠現在還在起步階段,此前消息稱是2023年試產2nm工藝,2024年量產。 來源:遊民星空

蔚來ET7內飾設計 三種內飾主題顏色首創隕石鍍鉻工藝 強化豪華感

【佰咖汽車·新能源新車資訊】今日,2021上海車展開幕,蔚來汽車正式發布了ET7的內飾設計。新車內飾科技未來感十足,提供三種內飾主題顏色,多處採用全新材質首創隕石鍍鉻工藝,色彩統一的同時更具豪華氣息。 內飾方面,ET7將提供三種內飾主題顏色, 分別是雪融白, 金沙米和阿爾卑斯灰, 每一種主題色彩都來自美麗的大地。 材質用料上,內飾多處採用全新材質,首創隕石鍍鉻工藝,全系標配Microfiber超纖絨頂棚,Nappa真皮高級內飾。 此外,ET7採用了懸浮式液晶儀錶盤加液晶中控大屏的搭配,方向盤造型同樣採用了全新設計,更加簡潔有質感。而中控大屏上方依舊搭載了NOMI人工智慧系統。 新車在中央扶手區域的地颱風格也非常個性化,採用了鏤空式儲物格,與同門車型保持一致,用以增加儲物能力和實用性。 座椅方面,ET7後排的乘坐空間十分寬敞,後排座椅的靠背都到了C柱的後方,但後排車門卻比較擁擠。並標配了飛航頭枕和環抱式扶手,舒適性更加優秀。 【蔚來ET7】 據了解,蔚來ET7作為品牌首款轎車產品,將會提供搭載70kWh、100kWh以及150kWh電池組的多款車型可選,NEDC綜合工況續航超1000km。 為尊重原創,轉載請標明出處,更多資訊請關注佰咖汽車。獲取更多佰咖汽車新鮮資訊請點擊下方<了解更多>。 來源:kknews蔚來ET7內飾設計 三種內飾主題顏色首創隕石鍍鉻工藝 強化豪華感

千年古墓出土戰國「水晶杯」,巔峰工藝中的國寶獨一無二不可複製

作為一個人,一日三餐是免不了的,這水也是哪一天必須要喝的。今天小編要講的就和玻璃杯有關,而且還是在千年古墓中發現的,這是怎麼一回事呢?難不成有人穿越了?把現代的玻璃杯帶到了千年前的世界。這還得從1990年說起。當時浙江杭州半山鎮石塘村的一個磚廠,幾個工人在學徒的時候。發現了一些陶瓷的編鐘。工人們沒有聲張,偷偷地把東西藏了起來,把挖出的東西賣給了古董商。後來,當地的村民報警,警察在接到報警。立刻對嫌疑人進行了控制。 後來,考古專家趕到現場後,對古墓進行搶救性發掘。經過對出土文物的鑑定,確定這一座古墓應該屬於戰國時期。當考古專家挖到一米多深時,發現了一個玻璃杯,於是專家小心翼翼取出了一件類似玻璃製品的東西。經過處理後發現這個杯子造型太像咱們現代人用的玻璃杯了。考古人員第一反應就是會不會是盜墓賊一不小心把自己喝水的杯子留在這里。不然怎麼解釋古墓里邊兒有玻璃杯呢?然而當專家仔細琢磨又發現不對,因為這座古墓上覆蓋的土常年被拖拉機碾壓,已經變得極為堅硬。對於大多數的盜墓賊來說,如果沒有大型的專業挖土工具,他們很難下手。而且考古隊並沒有找到任何作案的痕跡。 那麼這個奇怪的杯子就肯定不是咱們現代人用的玻璃杯。那它到底是個什麼呢?於是專家小心翼翼地把杯子給清理乾淨,仔細地看了半天,這不看還好一看專家就發現了秘密。就是這個杯子身上有好幾條像棉絮一樣的紋路,而這些紋路經常出現在天然水晶上面。也就是說,古墓里出土的這個杯子很可能並不是玻璃杯,而是一個年代悠久的水晶杯。那麼它到底是不是一個水晶杯呢?為此,專家們內部還引發了一場激烈的爭論,最後,專家們決定把杯子連同杯子里的土一起送往北京做鑑定。 到了北京之後,經過最權威的考古學家鑑定後,發現這是一件國寶。中國使用水晶的歷史是非常悠久的,最早可以追溯到60萬年前。到了春秋時期,水晶製品的製作工藝已經非常精細的。到了戰國時期,水晶的生產利用更是進入了一個鼎盛時期,工匠們的焦灼水平有了很大的提高。要製作出這樣一個水晶杯,那是完全可以做到的。不過這也應該是唯一代表了巔峰水平的孤品。 因為在目前已經出土的戰國文物中,像這個水晶。造型這麼先進複雜的水晶製品,再也沒有第二件可以說是國寶中的國寶了。於是,在二零零二年被國家文物局列入首批禁止出國展覽的文物。 來源:kknews千年古墓出土戰國「水晶杯」,巔峰工藝中的國寶獨一無二不可複製

台中市工藝師陳金旺二件作品入選兩項國際陶藝雙年展

華夏經緯網4月12日訊:據台灣「中時新聞網」報導,台中工藝師陳金旺今年在國際舞台大放異彩,入選兩項國際陶藝雙年展。作品《流逝痕跡》入選葡萄牙Aveiro 2021第15屆國際陶藝雙年展,作品《銹然大器》入選西班牙第10屆CIUDAD DE TALAVERA國際陶瓷雙年展。 工藝師陳金旺入選兩項國際陶藝雙年展。(台中文化局提供) 工藝師陳金旺1963年出生於台中梧棲,自幼就對藝術有一份嚮往,職涯從拳擊手到記者,最後於2010年在大肚山打造了「窯谷工坊」,並以大肚山紅土為材,開啟與家鄉土的對話。陳金旺發揮取之於土地,饋之於土地的精神,將大肚山的紅土運用於現代陶藝創作,作品多次拿下海內外的獎項,技藝精湛,實至名歸。 工藝師陳金旺在習陶的歷程中,除從事天然釉燒製研究外,亦努力鑽研自身工藝技術之純熟,並藉由實際經驗,將紅土化為坯,燒至1250°c,挑戰低溫土無法成器的說法,讓紅土因高矽沙容易滲水而被誤解為低溫土之論點得到反證。近期,更將紅土進一步昇華,挑戰做成鐵器的鏽蝕肌理運用於現代陶,創造出此次入選國際雙年展的優秀作品。 陳金旺作品《流逝痕跡》。(台中文化局提供) 據悉,2021葡萄牙Aveiro第15屆國際陶藝雙年展於2020年底開始征件,工藝師陳金旺以作品《流逝痕跡》脫穎而出,入選爭取最高獎項,得獎作品將於今年10月於阿威羅博物館展出。陳金旺今年也以仿鐵桶之漆銹作品《銹然大器》參加西班牙第10屆CIUDAD DE TALAVERA國際陶瓷雙年展,日前收到入選通知,得獎作品將於今年5月份在拉斐爾•莫拉萊斯文化中心與各地陶藝家作品爭輝。 陳金旺作品《銹然大器》。(台中文化局提供) 據台中文化部門介紹,台中相當重視在地藝術家,對於工藝師陳金旺在陶藝創作的堅持感到敬佩,也感謝他在陶瓷工藝領域鍥而不捨的創作精神,今年入選兩項國際陶藝雙年展可謂雙喜臨門,也期待未來創作更多精彩作品。(高楊) 來源:kknews台中市工藝師陳金旺二件作品入選兩項國際陶藝雙年展

再見了14nm++工藝,未來兩年內英特爾將和AMD展開英倫對決

一直以來,英特爾晶片製程都是一騎紅塵,三星台積電只能望塵莫及。但是到了10nm卻遇上最大麻煩,一直都卡在14nm工藝。然而,對手台積電一直進展順利,如今7nm工藝都早已量產。 更令英特爾揪心的是其最大對手AMD憑藉著台積電這波7nm工藝紅利,在晶片製程上來個彎道超車,洗刷了AMD功耗高的形象,變成節能高效。可以這麼說吧,在10nm工藝進入桌面級處理器之前,英特爾桌面級處理器製程都落後於AMD,在網友眼中,英特爾桌面級酷睿就是功耗爆炸、時下最「熱」處理器的代名詞。 有心無力的Rocket Lake‍‍ Rocket Lake-S處理器的內核Cypress Cove,英特爾表示是將筆記本平台的10nm工藝的內核架構移植到台式機上。這也是英特爾當年酷睿開山辟祖的法寶,當年憑藉著出色的能效比把AMD按在地方摩擦多年,也是英特爾牙膏廠之路的開始。 實際上,英特爾這種將筆記本平台的10nm工藝的內核架構移植到台式機上是屬於架構前移。畢竟10nm工藝是英特爾多年精耕細作的產物,成熟透頂的14nm再怎麼精打細磨也無法追上10nm工藝的車燈。那麼問題就來了, 既然Cypress Cove源自 Sunny Cove,那麼對應的製程應該是 10 納米製程而不是並非 14 納米優化。因此要想這個新架構在14nm工藝的晶片上移植成功的話,必然要求Die的核心電路進行重新佈局優化。 想重振當年酷睿2雄風,無奈老舊14nm工藝拖了後腿 但是看從生產工藝上,這是英特爾最後的14nm,即便優化再好也是14nm工藝,無法與實打實的7nm抗衡。這種移花接木的方法確實行不通,不僅因為工藝跟不上而導致Die面積增大,而且造成核心沒地方放將核心縮回八核心。 即便11代酷睿繼續沿用了10代採用的超薄設計,以及焊鉗封裝工藝,以提高超頻能力。甚至還加入AI功能、ABT以及AVX 512指令集等功能,但是依然無法避免功耗發熱量倍增的困境。對於日常使用,玩遊戲功耗還可以接受。但是視頻渲染,動畫渲染等需要動用AVX指令集尤其是AVX512,是需要好的供電和散熱,水冷是必須的。最後被網友吐槽為「浪費沙子以及時下最熱的CPU」,即便英特爾怎麼努力,也也改變不了它短命的厄運。因為現在科技圈全網都在期待下一代酷睿,只有現在急需換代的藍粉才會考慮這代酷睿。 又一世紀之戰:Alder Lake/Raptor Lake對抗Zen3+/Zen 4 對於新人小白來說,可能無腦吹捧AMD,Yes以及萬年牙膏英特爾就行了。因為確實現在AMD已經在輿論與市場營銷方面占據不少主動權,但是老司機卻淡定地說:「這兩年情況特殊,屬於非常時期」。這里已經暴露英特爾和AMD兩家競爭已經到達暗潮湧動的局面,雙方都在蓄力憋大招。雙方競爭方向,大致歸納可以得出以下幾點: 1、核心架構方面,從廣大網民角度來看,英特爾進步和決心最大。Alder Lake無論是從內核架構、內核連線佈局封裝(大八核小八核封裝)以及生產工藝(終於用上了千呼萬喚的10nm工藝)上,都是比以往更為用心。 而AMD自然也不是吃素的,繼續保持基於IF總線的小晶片設計,未來可能原生核心數會增多(現在一個Die原生八核,未來可能十核心),藉助台積電6nm甚至5nm製程,在製程上保持一定的領先。 2、雙方都爭先進入DDR5時代,並且都在努力提升記憶體控製器,爭取在DDR5獲得更好的性能以及更低的延遲。 3、PCIe4.0將比3.0更為短命,下一代處理器兩家都搶先支持PCIe5.0。鑒於Alder Lake可能下半年發售(網絡傳言),英特爾這次提前上PCIe5.0,數據傳輸以及拓展性會比AMD稍微領先。而AMD的Zen3+/Zen 4至少要2022年也就是明年才推出。 4、Alder Lake和Raptor Lake,也就是12代13代酷睿會用相同的LGA 1700針腳,AMD這方面也更改了AM5針腳。雙方功能都變多了,增加針腳數更換主板也是情理中的事。 再見了14nm++,紅藍雙方英倫對決開始 或者是AMD這幾年進步太過分了吧,把英特爾步步逼急,這幾年PC領域出現前所未有的進步以及大變革。在兩家龍爭虎鬥的前提下,我們普通消費者可能收穫最大。想想AMD當年的毒龍速龍時代、英特爾四核八線程的AMD推土機時代,就是知道一邊倒的情況就要我們消費者來買單。 就兩年時間,我們可以放眼看看Zen3+與Alder lake、Raptor Lake和zen4的英倫對決。垃圾佬可能要租新倉庫了,未來還沒有焐熱的CPU可能被淘汰滿大街都是。 來源:kknews再見了14nm++工藝,未來兩年內英特爾將和AMD展開英倫對決
舊輪胎變石墨烯:新工藝制出更堅固混凝土

舊輪胎變石墨烯:新工藝制出更堅固混凝土

據外媒報道,萊斯大學實驗室優化的閃蒸工藝可以減少碳排放。這可能是真正發揮作用的地方。據悉,萊斯大學的科學家優化了一種工藝,他們將橡膠輪胎的廢料轉化為石墨烯進而可以用來加強混凝土。 化學家James Tour表示,在混凝土中添加石墨烯的環境效益是顯而易見的,「混凝土是世界上生產最多的材料,它產生的二氧化碳占世界的9%。如果我們在道路、建築和橋梁中減少使用混凝土,那麼我們就可以在一開始就消除一些排放。」 回收的輪胎廢料已經被用作硅酸鹽水泥的組成部分,但石墨烯已被證明可以在分子水平上增強膠凝材料--其中包括混凝土。 據悉,每年有8億個輪胎被丟棄,其中大部分被用作燃料或其他用途,而其中有16%最終則是被扔進垃圾填埋場了的。 Tour說道:「即使回收其中的一小部分石墨烯也會讓數百萬輪胎不用進入垃圾填埋場。」 Tour和他的同事在2020年推出的「閃蒸」工藝已被用於轉換食物垃圾、塑料和其他碳源。它們被暴露在一波電流中,然後被除去除了碳原子以外的所有物質。之後,這些原子被重新組裝成有價值的渦輪層石墨烯,其錯位層比石墨剝離產生的石墨烯要更容易溶解。這使得它更容易在復合材料中得到使用。 事實證明,將橡膠轉化為石墨烯比將食品或塑料轉化為石墨烯更具挑戰性,但該實驗室通過使用從輪胎中提取的商用熱解廢橡膠來優化這一過程。Tour指出,在從廢輪胎中提取有用的油之後,這些碳殘渣的價值到目前為止幾乎為零。 輪胎衍生的炭黑或橡膠碎片和商用炭黑的混合物都可以被閃蒸進石墨烯中。由於渦輪增壓石墨烯是可溶的,所以它可以很容易地被添加到水泥中以製成更環保的混凝土。 由Tour和C-Crete  Technologies的Rouzbeh Shahsavari聯合領導的這項研究已將研究文章發表在了《Carbon》上。 萊斯實驗室對輪胎衍生的炭黑進行了閃蒸處理,結果發現約70%的材料轉化成了石墨烯。當閃蒸橡膠輪胎屑跟普通炭黑混合以提高電導率時,約有47%轉化為石墨烯。除了碳之外的其他元素也被用於其他用途。 電脈沖持續時間在300毫秒到1秒之間。該實驗室計算出,轉換過程中使用的電力將消耗約100美元/噸的初始碳。 研究人員將少量的輪胎衍生石墨烯跟波特蘭水泥混合用於生產混凝土圓柱體。經過7天的固化測試,圓柱的抗壓強度提高了30%或更高。28天後,0.1 wt%的石墨烯足以使兩種產品的強度至少增加30%。 Shahsavari表示:「這種強度的提高,部分原因是2D石墨烯的播種效應有助於更好地生長出水泥水合物產品,部分原因是後期的增強效應。」 來源:cnBeta

英特爾可能會對其工藝節點進行重新命名,以趕上行業的發展步伐

在過去的幾年中,英特爾雖然在製程推進上不如人意,但無可否認,在半導體製造方面還是進行了很多努力。從10nm工藝節點研發慘敗開始,英特爾已經將新的工藝節點推遲了好幾年,似乎怎麼樣做都無法按計畫進度推進。如果時光回到數年前,人們很難想像一向走在前面的英特爾會出現如此糟糕的情況,給自己整個產品線的佈置帶來了諸多問題。 採用新工藝的產能無法提高的問題也存在很長時間了,直到最近英特爾才開始擴充其10nm工藝的產品線。反觀台積電(TSMC)卻以驚人的速度不斷推進工藝節點,目前已經在5nm工藝節點上實現量產,而且在年內會進行4nm工藝節點的試產。為了保持競爭力,英特爾需要採取新的策略應對。以目前的研發進度,當台積電在2023年切換到3nm工藝節點的時候,英特爾很可能才到7nm工藝節點。至少在營銷上就會產生很大的問題,意味著英特爾的工藝不如其競爭對手,即使英特爾在某些方面的技術指標並不比對方差,但仍然會讓英特爾處於明顯的劣勢。 據《Oregon Live》報導,為瞭解決這個問題,英特爾可能會工藝節點的名稱進行重新命名,改變以往的命名規則,提供符合行業約定標準的新名稱。在這點上也並非沒有先例,或許英特爾可以參照三星的做法。大概英特爾已經厭倦了每次技術演講中,反復爭辯其工藝水平的問題。 暫時仍不清楚具體細則,或者什麼時候會出現這種改變。英特爾也拒絕對此進行評論,只是重申在他們認為其晶片比目前描述的更先進。英特爾認為業界目前對工藝的命名存在問題,由於標準不一致和長期混亂,沒有反映出技術上的創新。 來源:超能網

2000年前的小熊高壓鍋火了:古人構思精巧 工藝令人贊嘆

你知道2000年前的高壓鍋長什麼樣嗎?河北保定滿城漢墓出土的一口熊足銅鼎,因構思巧妙被稱為「古代的高壓鍋」。熊足銅鼎器身呈橢圓球形,鼎的三足為蹲立狀的小熊,咧口微笑,模樣十分嬌憨可愛。鼎蓋上還環立着四個小獸。 據悉,滿城漢墓是西漢中山靖王劉勝及其妻竇綰之墓,有「天下第一崖墓」之稱。河北博物院社教部李雅雯介紹,滿城漢墓出土大量與飲食、烹飪有關器物,如鼎、釜、鑊等炊器;壺、杯、罍等酒器等,不僅造型精美,製作精良,而且設計科學,其中就包括一件構思巧妙的熊足銅鼎,被稱為「古代的高壓鍋」。熊足銅鼎通高18.1厘米,口徑17.2厘米,腹徑20厘米,出土於滿城漢墓1號墓,即西漢中山靖王劉勝墓中。 李雅雯介紹,2000多年前的古人憑借聰明才智和豐富的創造力,設計出的這種閉鎖結構類似於現代的高壓鍋,設計之科學、構思之精巧,着實令人贊嘆。 來源:cnBeta
2nm工藝日本殺出來了多年厚積薄發 這實力太強

2nm工藝日本殺出來了多年厚積薄發 這實力太強

談到日本的半導體行業,大部分人行業人士都對他們的優劣勢有充足的了解。 優勢方面,他們的半導體設備、材料、被動元件、射頻乃至功率器件都在全球名列前茅。例如在當前熱門的第三代半導體,5G射頻和EUV光刻膠方面,他們都有着其他競爭對手所不具備的優勢。 如果談到劣勢,那就更加為大家所熟知。雖然日本廠商能從上游卡住很多企業,但眾所周知的是,在過去三十多年發展起來的Fabless、Foundry和OSAT這三個方面,日本幾無建樹。 在過去,半導體全球供應鏈還處於和平相處的時候,這並沒有什麼問題。日本憑借其上游供應優勢,也能在半導體復雜的供應鏈卡住重要位置。 但進入最近兩年,中美、日韓之間的地緣政治時間頻發,嚴重影響了曾經的半導體供應鏈的正常運行,這就驅使中美韓歐開始了半導體自主可控的探索。作為曾經的半導體行業老大,日本當然也不例外。 從最近他們的動作看來,2nm工藝似乎會將是他們的一個發力點。 2nm的明爭暗鬥 雖然曾經有不少人對於晶體管的繼續微縮有疑問,但因為蘋果、AMD英偉達、AI芯片和高性能計算芯片開發商等廠商對新工藝有極迫切的需求。這就推動三星和台積電踴躍投入其中。 首先看台積電方面,去年媒體的報道顯示,公司在在2nm製程工藝方面取得了重大突破,並將於2023年下半年進行小規模試產,2024年可大規模量產。 從相關報道可以看到,台積電在2nm工藝上將放棄延續多年的FinFET(鰭式場效應晶體管),轉向新的多橋通道場效應晶體管(MBCFET) 架構,解決FinFET持續微縮帶來的漏電問題。這正是三星在3nm上採取的方法。 據三星方面介紹,與7nmLPP 製程技術相較,公司的3GAE 製程技術可在同樣功耗下可使性能提高30%,或同樣頻率下能讓功耗降低50%,而整體電晶體密度最高則可提高80%。 在ISSCC上,三星還介紹了其首個使用MBCFET 技術的SRAM 芯片,據透露,這個256Gb 芯片面積僅為56mm²。他們進一步指出,與現有芯片相較,使用MBCFET 技術的寫入電壓降低230mV。據預計,他們3 nm的MBCFET製程會在2022年投產。相信這也將延續到他們的2nm製程上。 除了這兩家晶圓代工巨頭,歐盟也打起了2nm的主意。 在今年三月,歐盟委員會正式發布《2030 Digital Compass》規劃書,為當地未來10年的半導體產業發展提出了最新目標。歐盟方面表示,歐洲在整個半導體市場中僅占10%的市場份額,這遠低於其經濟地位。此外,Covid-19和地緣政治緊張局勢使人們擔心歐洲關鍵技術的對外依賴。 歐盟方面指出,他們擁有減少依賴所需要的一切技術。如ASML、Zeiss、Thermo Fisher、Applied Materials、Nova和KLA等企業,ARCNL, imec, PTB, TNO 和TU/e等研究所以及IBS、Recif、Reden和Unity等機構能為其提供多方面支持。 因此歐盟想要制定雄心勃勃的計劃,從芯片設計到向2nm節點發展的先進製造,以求差異化並引領我們最重要的價值鏈。 歐盟方面進一步強調,需要加強歐洲開發下一代處理器和半導體的能力。為高速連接,自動駕駛汽車,航空航天與國防,健康和農業食品,人工智能,數據中心,集成光子學,超級計算和量子計算等行業和應用提供最佳性能的芯片。 作為一個擁有多方面領先優勢的國家,日本也蠢蠢欲動。 日本的不甘人後 其實在去年五月,就有外媒報道日本政府正在尋求吸引國外優秀的芯片製造商能赴日本建立圓晶工廠,以促進日本在半導體行業的發展。但後來的台積電決定了去美國建廠,這就從某種程度宣告了他們的計劃落空。 但日本並不甘心,轉而拉攏台積電去當地建設封裝廠。 媒體在今年一月的報道也指出,台積電將與日本經濟產業省成立合資公司,在東京設立先進封測廠。而根據《日刊工業新聞》報導,台積電是要在日本茨城縣築波市新設技術研發中心, 研發中心包括晶圓製程及3D封裝。 從過往的報道看來,日本的這個決定也是有其背後的考量的。 因為晶體管微縮受限,過去多年在業界就存在一個觀點,那就是借用先進封裝可以繼續推進芯片性能的提升。而台積電在去年九月更是推出了其3D Fabric平台,將SoIC、CoWoS、InFO等技術家族囊入其中,能串聯高頻寬存儲、異構整合和3D堆疊,以提升系統能耗,並縮小面積。 台積電研發副總余振華也以TSMC的SoIC技術為例,講述他們這個平台的優勢。他指出,這個技術可將低溫多層存儲堆疊在邏輯芯片上,幫助延伸摩爾定律。而公司現在已成功將4層、8層與12層低溫多層記憶體堆疊在邏輯芯片上,其中12層總厚度更是低於600微米,這讓公司在未來可以實現堆疊更多層的可能。 雖然日本已經緊抱台積電,為未來發展先進芯片製造做好了一部分准備。但從日前的新聞看來,日本的野心並不止於此。 日經新聞的最新報道指出,日本經濟產業省最快在本周內,會召開與日本半導體產業有關的檢討會,除了會探索瑞薩電子工廠火災對汽車生產的影響,以及汽車業供應鏈不穩定的隱憂外,日本政府還計劃府着眼朝着數字化發展的當前經濟,讓半導體供應鏈體質更加強韌,並從經濟安全保障等觀點,重新擬定中長期的政策。 日經進一步指出,日本政府將提供資金支持、協助日本企業研發2nm以後的次世代半導體製造技術。 為實現這個目標,他們除了繼續保持和台積電、Intel等半導體大廠進行大范圍的意見交換來進行研發外,他們還將與佳能、東電、SCREEN等本土設備巨頭攜手,重振日本在先進研發方面的實力。 據報道,這支該獲得經產省資金援助的研發團隊目標在2020年代中期確立2nm以後的次世代半導體的製造技術,並設立測試產線,研發細微電路的加工、洗淨等製造技術。 厚積薄發的底氣 正如文章開頭所說,雖然日本沒有先進的晶圓廠,但他們在先進工藝的上游有很重要的布局。以現在炙手可熱的EUV光刻為例,雖然大家都知道全球目前荷蘭公司ASML能提供領先的EUV光刻機。 但在半導體行業觀察之前的報道中,我們可以看到日本公司在這個領域多個環節的實力。 首先來看缺陷檢測設備,如果作為原始電路板的光掩模中存在缺陷,則半導體的缺陷率將相應增加。 最近幾年需求增長尤其旺盛的是EUV光罩(半導體線路的光掩模版、掩膜版)檢驗設備,在這個領域,日本的Lasertec Corp.是全球唯一的測試機製造商,Lasertec公司持有全球市場100%的份額。 日本另一個占據100%市場份額的是東京電子的EUV塗覆顯影設備,該設備用於將特殊的化學液體塗在硅片上作為半導體材料進行顯影。1993年東電開始銷售FPD生產設備塗布機/顯影機,2000年交付了1000台塗布機/顯影機「 CLEAN TRACK ACT 8「。 在EUV光刻膠方面,日本的市場份額更是遙遙領先。據南大光電在今年三月發布的相關報告中披露,如下圖所示,全球僅有日本廠商研發出了EUV光刻膠,由此可以看到他們在這方面的實力。 國際主要廠商在半導體光刻膠產品的產業化進度(source:南大光電) 在先進工藝研發方面,還有一個重要環節,那就是本節開頭談到的EUV光刻機,這也是日本在先進工藝研發上將佳能納入其中的原因。 雖然這家曾經的光刻機巨頭在這個領域已經被ASML拋離,但他們在光刻方面的積累,能某種程度上給日本的先進製造提供指引。 除了上述談到的一些技術和企業外,如上圖所示,日經在昨天的報道中,也披露了日本在半導體製造的多個環節參與其中。 由此可見,對於日本來說,要想在芯片製造上搞出一些浪花,是有其深厚的底氣。與此同時,日本富岳「超算」上的富士通的48核Arm芯片A64FX的超強性能表現加上索喜5nm芯片的新聞表示,日本在先進芯片上也有其實力所在。 在這些企業的配合下,相信日本復興半導體先進芯片技術乃至建造先進工藝晶圓廠,都有潛在的可能。當然,是否真會這樣做晶圓廠,又是另一個層面的討論。 來源:快科技

研究人員開發出從紫玉米中提取出更多有益色素的新工藝

據外媒報道,你在商店里看到的紫色玉米片並不只是噱頭--玉米中的紫色色素實際上對健康有益。現在,研究人員發明了一種可以從通常被丟棄的玉米芯中提取更多紫色色素的新方法,其用於多種用途。據悉,紫玉米的穀粒和穗軸都含有一種叫做花青素的色素,攝入這種色素可以幫助降低患心髒病或糖尿病的風險。 人們當然會吃玉米粒,但玉米棒中的色素通常會被扔掉。此前,科學家曾嘗試從這些穗軸中提取花青素,但這一過程通常會涉及到使用苛刻的溶劑。在Fabrizio Adani、Roberto Pilu和Patrizia De Nisi的帶領下,米蘭大學的一個團隊着手設計出了一種更有效、毒性更小的提取方法。 首先,他們開發了一種新的紫玉米品種並從中收獲籽粒。作為一種快速而廉價的生物煉制技術的一部分,剩餘的穗軸被碾碎然後跟水混合並加熱。這樣做可以可以使穗軸的色素含量減少36%,這些色素則被成功地用於給羊毛和棉布染色。 在下一步的過程中,乙醇混合物被用來提取另外33%的色素,據報道,當添加到皮氏培養皿中的細胞中時,這種色素表現出抗氧化和抗炎的特性。因此,科學家們相信它可以用於營養補充劑。 最後,當測試剩餘的磨碎的玉米穗軸材料時,據稱它甚至比目前用於商業貓砂的玉米芯廢料更具吸附性。另外,由於這種材料中殘留的花青素被發現具有抗菌特性,所以紫穗軸的廢料還可以在垃圾中使用以殺死細菌、減少異味。 來源:cnBeta
中芯國際來深圳建廠 28nm工藝產能比你想象的緊張

中芯國際來深圳建廠 28nm工藝產能比你想象的緊張

全球「缺芯潮」不斷蔓延,被波及的企業不勝枚舉。3月17日晚,中芯國際發佈公告稱,將和深圳政府擬以建議出資的方式,經由中芯國際集成電路製造(深圳)有限公司(下稱「中芯深圳」)進行項目發展和營運,重點生產28納米及以上的集成電路並提供技術服務,旨在實現最終每月約4萬片12吋晶圓的產能。預期將於2022年開始生產。 待最終協議簽訂後,項目的新投資額估計為23.5億美元,折合人民幣約為153億元。 據報導,中芯深圳將由中芯國際和深圳重投集團分別擁有約55%和不超過23%的權益。公告發佈後,中芯國際的此番舉措引起了業內的廣泛關註:1、為什麼要選擇在「缺芯潮」這個節骨眼上做出決定;2、為什麼要選擇深圳;3、為什麼選擇28nm製程以上... ...全國造芯的決心如此巨大,但基於過去中國宏觀調控的經驗,懷疑主義的聲音也不斷加大,譬如: 「大家全部一股腦的擠進去,那麼芯片產業未來不就很快會過剩嗎?」 「為什麼強調的是28nm的產能加大,這類芯片在國外不是已經算玩剩的嗎?」 選擇28nm以上成熟製程的原因? 中芯國際為什麼要選擇28nm以上成熟製程? 繼華為被美國禁止和台積電、三星等代工廠進行合作並生產芯片後,今年3月1日,美國四部委卻發令,批准美領先設備廠商向中芯國際供應,其中包括14納米及以上的設備。另外荷蘭方面,半導體設備製造商阿斯麥集團也開始願意向中芯國際出售光刻機。 但被批准對中芯國際出售的光刻機採用的是DUV技術,即深紫外線光刻技術。簡單地說,DUV技術光刻機只能用於製造中低端芯片。而阿斯麥集團真正壓箱底的光刻機技術是EUV技術,即極紫外線光刻機,它才是製造高級芯片的關鍵。 因此在這種局勢下,若先選擇發力28nm芯片的製造,一定程度上可以緩解國內外智能製造領域對芯片的迫切需求。 而28nm工藝節點是用來區分中低端和中高端芯片的關鍵技術節點,目前市場普遍認為,28nm以上的為成熟製成,以下則為先進製成。 去年11月,中國半導體產業協會表示中國將在兩年內實現28納米工藝技術自給自足。根據《2019集成電路行業研究報告》,28nm及以下工藝的先進工藝占據了48%的市場份額,而成熟工藝則占據了52%的市場份額。 並且,28nm以上成熟工藝領域的芯片可運用之處其中包括,AIoT、新能源汽車、5G網絡設備、一些智能家居等。 而在需求端,大部分設計企業都集中在成熟工藝上,那麼龐大的國內市場將給各大國內廠商提供了非常多的發展空間。 一年兩投28nm製程 不僅如此,就在去年,中芯國際剛剛聯手國家大基金二期成立合資企業中芯京城,斥資500億元擴產28nm。根據此前公告,500億元為上述項目首期投資,一期項目計畫於2024年完工,建成後將達成每月約10萬片12英吋晶圓產能。 在2020年第四季度的電話會上,中芯國際聯合首席執行官趙海軍曾表示:今年43億美元的資本開支將大部分用於成熟工藝的擴產,小部分用於先進工藝,北京新合資項目土建及其它。同時計畫今年成熟12吋產線擴產1萬片,成熟8吋產線擴產不少於4.5萬片。 而中芯國際一年內連投兩次28nm製程,也符合當時電話會議上的內容。 中芯國際在全線的佈局也備受業內人士關注。 在上海建有一座300mm晶圓廠和一座200mm晶圓廠,以及一座擁有實際控制權的300mm先進製程晶圓廠; 在北京建有一座300mm晶圓廠和一座控股的300mm晶圓廠; 在天津和深圳各建有一座200mm晶圓廠; 在江陰有一座控股的300mm合資凸塊加工廠。 也有業內人士分析表示,再次牽手深圳,也意味着深圳首座12英吋廠正式啟動。 選擇在深圳備受業內關注 就在中芯國際發佈在深圳建廠後,美國CNBC網站18日報導稱:「在中美關系緊張背景下,中芯國際在中國推進芯片產業自主與做強中發揮關鍵作用,深圳是中國科技樞紐城市。」 作為國家級集成電路設計產業化重要基地之一的深圳,已經聚集了眾多的IC設計公司,而在全國半導體十強企業(芯片設計)名單中,深圳有四家企業在列,華為海思半導體已成為全國最大的IC設計企業;中興微電子、匯頂科技、比亞迪微電子和敦泰科技等四家企業的銷售收入均超過了20億元。 深圳已經形成了具有相當規模的IC設計與應用企業聚集基地,構建了5G、通信、物聯網、顯示驅動與觸控、汽車電子、人工智能等IC設計與應用優勢產業鏈。 各大廠商紛紛開啟擴產計畫 其實除了中芯國際,2020年下半年開始,很多芯片製造廠也開始籌備擴產計畫。 經過公開資料整理: 台積電:赴美(亞利桑那州)建設5nm晶圓廠,共計6座,投資356.16億美元,月計畫產10萬+片; 三星:美坦桑尼亞、紐約、奧斯汀州各建1座,投資金額170億美元; 格心:擴產12~90nm製程,紐約、新加坡、德國沙克森州均有建廠; 華虹:在無錫建廠,月產能達約達8萬片; 美光:在台灣建廠,生產12nm製程及以下擴產DRAM產品; 鎧俠:日本三重縣建廠,生產第六代NAND閃存「BICSFLASH」,投資約91.85億美元。 國際芯片製造廠商基本集中於美國和德國建廠,例如英飛凌和博世。 想解決缺芯問題是需要芯片上下游配套產業鏈的同步規劃,面臨着市場對芯片用量不斷激增的需求,其實對晶圓產能也提出了極大的挑戰。 「飛速」產能下的備受質疑 「飛速」產能之下,最為令人擔心的是,政策的促進是否會與2009年全國普遍的「光伏之熱」一樣,嚴重產能過剩。 中國工程院院士、浙江大學微納電子學院院長吳漢明曾表示:「當前芯片製造產能發展嚴重滯後於需求,供給能力和需求的差距越來越大,如果不加速發展,未來中國芯片產能與需求的差距,將拉大到至少相當於8個中芯國際的產能,因此必須加快速度。」 盡管受到質疑,隨着物聯網、車聯網等興起,這些行業對芯片的需求量更是指數級增長,然而更先進工藝製程的研發難度不斷加大導致聯電、格芯等已停止研發先進工藝製程,僅有台積電和三星兩家芯片製造企業研發5nm及更先進工藝製程,未來很可能出現先進工藝產能無法滿足全球需求,芯片供給不是過剩而是可能出現長期供給不足的。 相關機構預測,從 2018 年到 2030 年,集成電路銷售額將增加 124%,彼時集成電路產能至少增加 2 倍,但擴產速度仍然難以追趕需求增長速度。 宅經濟離不開集成電路的發展,「個人半導體」時代讓大眾從10年前的一部智能手機到手錶、手環、耳機等,在未來,這一現象將更加明顯。 寫在最後 按照半導體市場的發展規律,芯片缺貨屬於正常情況,且存在一定的缺貨週期。 在總供應不變的情況下,需求時強時弱,存在從強轉弱或從弱到強的動態變化,但當下這一動態出現不平衡和矛盾點,芯片缺貨的週期規律發生巨大變化,芯片短缺已成為新常態。 此前,半導體行業的發展奉行三段論,從存貨到消化庫存再到重新拉庫存。但這一理論從 2016 年之後不再適用,導致企業可能在價格高時反而拉高庫存,造成供需動態不平衡。 在 Semicon China 2021 的開幕會議上,紫光集團聯席總裁陳南翔曾表示,除了各類芯片不同程度的短缺,特色工藝的產能也出現短缺,因此芯片短缺已成為新常態。新常態的一面是芯片產能和市場需求不匹配,另一面則意味着國內半導體產業進入了新的發展階段。 關於此,長電科技首席執行官及董事鄭力表示:「幾年前,我們很羨慕外資大廠缺產能,因為我們那時是缺訂單,如果什麼時候缺產能了,就說明我們的公司發展到一定程度。如今國內很多公司已經發展到一定階段,才出現缺產能的情況,我認為這是一個在集成電路行業比較典型的景氣循環的現象。」 如今缺芯的大環境下,集成電路產業的商業模式也遇到極大挑戰。 如今的全球大環境下,市場需要建立一個互信的供應鏈,對於供應鏈端來說,需要共享整合的創新模式,投資利潤共享、風險共同承擔。 此外,持續不斷的供需不平衡問題也讓芯片製造面臨多重困難,業內人士表示,此前靠晶圓製造技術驅動集成電路產業,未來可能會走向以晶圓製造加封裝為核心驅動發展。 來源:cnBeta

瑞士科學家開發木材處理新工藝 讓木材變得有彈性進行發電

Empa和蘇黎世聯邦理工學院的研究人員將木材變得可壓縮,並將其變成一個微型發電機。當它被加載時,就會產生電壓。通過這種方式,木材可以作為生物傳感器或產生可用的能源。為了確保這個過程不需要腐蝕性的化學品,自然產生的木材降解真菌接管了改造木材的任務。 Ingo Burgert和他在Empa和蘇黎世聯邦理工學院的團隊已經一次次證明了這一點。木材不僅僅是一種建築材料。他們的研究旨在擴展木材的現有特性,使其適合全新的應用范圍。例如,他們已經開發出高強度、防水、可磁化的木材。現在,該團隊與Francis Schwarze和Javier Ribera組成的Empa研究小組一起,開發出了一種簡單、環保的從一種木質海綿中發電的工藝,他們上周在《科學進展》雜誌上進行了報道。 如果你想用木材發電,所謂的壓電效應就會發揮作用。壓電效應是指通過固體的彈性變形產生電電壓。這種現象主要被計量學所利用,計量學使用的傳感器會產生電荷信號,比如說,當機械負載被施加時,就會產生電荷信號。 然而,這種傳感器通常使用的材料不適合用於生物醫學應用,例如鋯鈦酸鉛(PZT),由於其含有鉛,不能用於人體皮膚。這也使得PZT和Co的生態處理相當棘手。因此,能夠利用木材的天然壓電效應,具有很多優勢。如果進一步思考,這種效應也可以用於可持續的能源生產。但首先,必須賦予木材適當的特性。如果沒有經過特殊處理,木材的柔韌性不夠;當受到機械應力時;因此,在變形過程中只會產生很低的電壓。 伯格特團隊的博士生Jianguo Sun使用了一種化學工藝,這也是該團隊近年來對木材進行各種 "改良 "的基礎:脫木質化。木材細胞壁由三種基本材料組成:木質素、半纖維素和纖維素。剛性的木質結構被溶解後 剩下的是柔韌的纖維素網絡,當這個網絡受到擠壓時,電荷被分離,產生電壓。為了將木材轉化為易於變形的材料,木質素必須至少被部分 "提取"。這是通過將木材置於過氧化氫和乙酸的混合物中來實現的。木質素在這個酸浴中被溶解,留下一個纖維素層的框架。 研究人員利用了木材的層次結構,由此產生的白色木質海綿由疊加的薄層纖維素組成,這些纖維素可以很容易地被擠壓在一起,然後膨脹回原來的形態,木材變得有彈性了。Burgert的團隊將邊長約1.5cm的測試方塊進行了約600次的負載循環。該材料表現出驚人的穩定性。在每次壓縮時,研究人員測量到的電壓約為0.63V,足以作為傳感器應用。在進一步的實驗中,該團隊試圖擴大他們的木質納米發電機的規模。 來源:cnBeta
消息稱中芯國際聚焦14nm FinFET工藝 繼續提高良品率爭取訂單

消息稱中芯國際聚焦14nm FinFET工藝 繼續提高良品率爭取訂單

據最新消息稱,中芯國際將繼續聚焦14nm FinFET工藝,以此來提高自己的競爭力。 據相關報道稱,隨着限制的放鬆,中芯國際正在努力重新獲得訂單,特別是其14nm FinFET工藝訂單。 按照之前官方公告的細節看,中芯國際與ASML達成價值12億美元訂單的交易,該訂單是基於兩家公司深紫外光刻(DUV)設備的擴展合同。 據報道,中芯國際已將其14nm FinFET工藝良率提高到了台積電的同類工藝所達到的水平。不過消息人士強調中芯國際是否能夠大幅提高14nm芯片的銷售額還有待觀察。 中芯國際月前披露的財報顯示,14/28nm工藝在2020年第四季度收入占比下降至5%,低於上季度的14.6%。 在先進製程方面,中芯國際聯合CEO趙海軍曾表示,經過三年的積累,FinFET工藝已經取得了不錯的成績,N+1已經進入了風險量產,但是在外部因素的影響下,去年四季度起FinFET的產能利用率不足,爬坡需要時間,營收奉獻尚未達到預期水準,折舊又對公司整體的盈利造成了負擔。 作者:雪花來源:快科技

傳中芯國際正努力重新獲得芯片訂單 聚焦14nm FinFET工藝

3月初,供應鏈消息稱中芯國際已獲得部分美國設備廠商的供應許可,主要涵蓋成熟工藝用半導體設備等。隨着美國對中芯國際放鬆限制,消息人士透露,該公司正在努力重新獲得訂單,特別是其14nm FinFET工藝訂單。 據悉,中芯國際最近披露了與ASML達成價值12億美元訂單的交易,該訂單是基於兩家公司深紫外光刻(DUV)設備的擴展合同。 digitimes報道指出,消息人士稱ASML仍不能將EUV設備售賣給中芯國際,因為其許可證正在等待美國政府官員的批准。盡管如此,中芯國際正在加緊准備,以提高14nm及以上工藝技術的銷售量。 據報道,中芯國際已將其14nm FinFET工藝良率提高到了台積電的同類工藝所達到的水平。不過消息人士強調中芯國際是否能夠大幅提高14nm芯片的銷售額還有待觀察。 中芯國際月前披露的財報顯示,14/28nm工藝在2020年第四季度收入占比下降至5%,低於上季度的14.6%。 在先進製程方面,中芯國際聯合CEO趙海軍曾表示,經過三年的積累,FinFET工藝已經取得了不錯的成績,N+1已經進入了風險量產,但是在外部因素的影響下,去年四季度起FinFET的產能利用率不足,爬坡需要時間,營收奉獻尚未達到預期水準,折舊又對公司整體的盈利造成了負擔。 來源:cnBeta

EUV光刻機門檻極高:7nm以下工藝才能買 全球僅三家

對先進工藝來說,ASML的光刻機必不可少,最先進的EUV光刻機售價將近10億元,投資巨大,而且購買EUV光刻機門檻也很高,全球也只有三家公司購買。報道指出,目前ASML的EUV光刻機不是想買就能買的,半導體廠商需要有7nm及以下工藝的生產能力才行,目前主要就是台積電、三星及Intel三家。 在ASML公司2020年簽訂的86台EUV光刻機訂單中,台積電最為霸氣,購買了44台,占了一半多,其次是三星,購買19台,Intel也購買了18台。 當然,這三家都是用於邏輯芯片生產的,如果考慮到記憶體行業,那麼SK海力士也加入了EUV光刻機購買大軍,前不久與ASML簽訂協議,斥資43.4億美元購買EUV光刻機。 由於EUV光刻機極為昂貴,所以它的銷量在ASML中只占12%,但營收缺占了45%,2023年的營收甚至會比2020年提升一倍多。 來源:cnBeta

台積電工藝一騎絕塵 圓晶價格超友商一半以上

2018年率先量產7nm工藝之後,台積電在先進工藝上更加一騎絕塵,去年的5nm以及即將量產的3nm工藝上也拉到了絕大多數客戶,蘋果、AMD、高通甚至Intel都要台積電代工。 台積電目前占據了全球半導體晶圓代工市場55%的份額,不僅營收規模遠高於三星、格芯、聯電及中芯國際,而且在關鍵指標——晶圓價格上也遙遙領先對手,而且差距非常明顯。 根據IC Insights公布的數據,2020年中台積電代工的晶圓平均下來每片要1634美元,相比上一年增加了2.39%,約合人民幣10567元,輕松過萬。 相比之下,格芯代工每片晶圓的均價只有984美元,台積電要高出2/3以上。 至於中芯國際、聯電這樣缺少14nm以下尖端工藝的對手,台積電的領先優勢更明顯,中芯國際每片晶圓的價格只有684美元,聯電也只有675美元,台積電輕松高出一倍以上。 以上價格實際上還只是攤薄到每片晶圓上,如果是比較先進工藝,差距更大,台積電代工7nm工藝晶圓的代工價格是9213美元,5nm工藝高達16746美元,差不多是均價的10倍,利潤空間更高。 來源:遊民星空

美國解除中芯國際黑名單:14nm及以上工藝恢復供應

去年中芯國際被美國列入了實體清單,購買美國廠商的半導體設備及技術受到限制。今天業界消息稱中芯國際已經獲得了美國的許可,14nm及28nm等工藝可以恢復供應。 來自芯謀研究創始人顧文軍的消息稱,中芯國際於美國的溝通取得重大突破,已經獲得美國設備廠商的供應許可,14nm及以上(14nm及28nm等成熟工藝)的設備供應獲得許可。 不僅如此,還有一個重大突破,那就是中芯國際一直想申請的一類關鍵設備,用於14nm工藝的,之前一直沒有獲得通過,現在也一並通過了。 不過爆料消息並沒有提到這個關鍵設備到底是什麼。 去年12月20日,針對被美國拉黑一事,中芯國際表態,被美國列入「實體清單」後,對於適用於美國《出口管制條例》的產品或技術,供應商必須首先獲得美國商務部的出口許可,才能供應給中芯國際; 對用於10nm及以下技術節點(包括EUV極紫外光刻技術)的產品或技術,美國商務部會採取「推定拒絕」(Presumption of Denial)的政策進行審核;中芯國際為部分特殊客戶提供代工服務也可能受到一定限制。 從現有消息來看,中芯國際的10nm以下工藝,特別是未來的7nm、5nm工藝依然任重道遠。 來源:遊民星空

消息稱中芯國際獲得美國許可:14nm、28nm工藝恢復供應

去年中芯國際被美國列入了實體清單,購買美國廠商的半導體設備及技術受到限制。今天業界消息稱中芯國際已經獲得了美國的許可,14nm及28nm等工藝可以恢復供應。來自芯謀研究創始人顧文軍的消息稱,中芯國際於美國的溝通取得重大突破,已經獲得美國設備廠商的供應許可,14nm及以上(14nm及28nm等成熟工藝)的設備供應獲得許可。 ...

中芯國際正式獲得美供應商供應許可 主要涵蓋成熟工藝

中芯國際已獲得部分美國設備廠商的供應許可,主要涵蓋成熟工藝用半導體設備等。近日,摩根士丹利研報曾指出,美國設備供應商近期已經恢復了對中芯國際的零組件供應和現場服務。在正式獲得美國設備廠商的供應許可後,中芯國際的運營前景將進一步明朗。 ...

台積電3nm工藝已在路上:更高能效比 2022年量產

HardwareInfo消息,2021年國際固態電路會議(ISSCC)於上周開始。在這次活動中,台積電(TSMC)董事Mark Liu博士就公司即將推出的3nm工藝的進展作了演講。此外,還討論了一些有助於未來流程的性能和效率改進的技術。 台積電董事長Mark Liu Liu重申N3的發展已步入正軌,但批量生產今年尚未開始。如果一切按計劃進行,則大規模生產將在2022年開始。如先前宣布的那樣,N3的晶體管密度是N5的1.7倍,或者說是相同數量晶體管芯片面積的58%。在相同的功耗下,3nm節點的速度應提高11%,或者在相同的頻率下,其消耗將減少27%。 迄今為止,台積電已經在其7納米工藝中生產了約18億個芯片。 台積電董事長隨後展示了設計技術協同優化(DTCO),並詳細介紹了如何更加充分的利用每個新節點的晶體管。由於使用了如單擴散中斷,翅片減少和各種自對准功能等新技術,DTCO已成為可能。 來源:遊民星空

3nm工藝穩了? 揭秘新一代晶體管結構

一些晶圓代工廠仍在基於下一代全能柵極晶體管開發新工藝,包括更先進的高遷移率版本,但是將這些技術投入生產將是困難且昂貴的。英特爾、三星、台積電和其他公司正在為從今天的 FinFET 晶體管向 3nm 和 2nm 節點的新型全柵場效應晶體管(GAA FET)過渡奠定基礎,這種過渡將從明年或 2023 年開始。 ...

揭秘3nm/2nm工藝的新一代晶體管結構

一些晶圓代工廠仍在基於下一代全能柵極晶體管開發新工藝,包括更先進的高遷移率版本,但是將這些技術投入生產將是困難且昂貴的。英特爾、三星、台積電和其他公司正在為從今天的FinFET晶體管向3nm和2nm節點的新型全柵場效應晶體管(GAA FET)過渡奠定基礎,這種過渡將從明年或2023年開始。 ...

簡化工藝獲超細晶高強鋼 北科大等團隊重要突破登上《自然》

在汽車輕量化等應用驅使下,科學家們對先進高強鋼的追求越來越高。日前,來自中國、英國、美國的研究團隊在頂級學術期刊《自然》(Nature)在線發表了一項鋼鐵領域的重要突破成果,題為「Facile route to bulk ultrafine-grain steels for high strength and ductility」。 ...

單色模、雙色模及矽膠工藝骨傳導耳機的區別

很多時候朋友們購買骨傳導耳機等產品時會發現:功能配置相同的情況下,有些產品的價格一定會更加便宜,但又看不出它們具體便宜在哪些方面。很多時候價格差就在於你看得見,但是摸不著的地方。 在購物網站上琳瑯滿目的產品圖片,由於會有美工對產品進行美化,導致我們無法去分辨看到的產品真正實物是什麼樣的。而產品介紹頁里沒有詳細解釋的各種工藝,我們也不一定明白,只能跟隨著文字的引導,在心里設想出一個大致模型、手感。 目前在骨傳導耳機領域,絕大多數骨傳導耳機採用了單色模製作工藝,少數矽膠工藝,而雙色模工藝是極少的。那它們的區別是什麼? 單色模是一種工業產品生產造型的方法,一次注塑成型一種顏色。產品通常使用橡膠注塑和塑料注塑。優點是製造工藝要求底,設計不複雜,並且價格低廉。缺點是模具手感、質感一般。通常會在模具成型後,在模具外表噴繪一層材料以加強其手感、質感。但使用過程中外表材料容易磨損,影響手感。 雙色模是指兩種塑膠材料在同一台注塑機上注塑,分兩次成型,但是產品只出模一次的模具,並且這兩種材料得要有優秀的強度。 雙色模的外觀要比單色模漂亮,工藝處理效果有著更加出色的手感與質感,產品質量更加穩定,易於換顏色可以不用噴塗。缺點就是造價昂貴,設備、技術、專業度要求高。 矽膠模具有三種開模方式:包模,涮模,灌注模。優點是價格低廉,具有最優秀的柔軟性和手感,緩衝性能良好。缺點是由於材質特性,容易出現劃痕甚至裂痕;使用時間長後易變色;質感偏厚,易油膩。 單單文字描述可不一定能清晰明白它們的區別。單色模工藝的製品在生活用品中是很常見的:塑料杯子、筆筒盒、充電器等物品基本都屬於單色模工藝的範疇。矽膠模工藝則可以在牙刷、矽膠手機殼、矽膠手套等物品上瞭解。而雙色模工藝就不好找了,因其造價昂貴,設備、技術、專業度要求高的緣故,日常用品幾乎找不到雙色模工藝的產品。 既然是想購買骨傳導耳機,推薦朋友們上日常購物網站搜一搜凹凸邁骨傳導耳機,這個品牌的產品是骨傳導耳機行業中極少數的使用雙色模工藝的一員。而其他的使用雙色模工藝的產品目前仍未找到,絕大多數仍是使用單色模工藝。凹凸邁品牌除了雙色模工藝之外,更是在產品模具表面進行了火花紋工藝處理。火花紋工藝簡單來說類似於在產品模具表面生成凹凸不平的磨砂手感,使產品質感、手感更進一步!這可比其他普通單色模工藝,再在產品表面噴繪一層薄薄的優化材質要優秀的多。並且凹凸邁品牌使用的是其品牌獨有設計的優秀外觀,在大多使用公模的骨傳導耳機海洋中,可謂是黑暗中的一盞明燈。 凹凸邁骨傳導耳機 單色模、雙色模及矽膠工藝都有著各自的優缺點屬性。雙色模的綜合優點最佳;若考慮短期體驗的話,矽膠模具會是不錯的選擇;而單色模相較於雙色模除了價格低廉外,其他方面都比雙色模要差。這也是為什麼工藝行業越來越推舉雙色模的原因。 隨著社會科技的不斷發展,新的優秀工藝會逐步替代老的工藝。而雙色模工藝也正在這一過程中進行著,慢慢替代單色模工藝成為人們日常生活中更優秀的常用品。 來源:kknews單色模、雙色模及矽膠工藝骨傳導耳機的區別
芯片供不應求 消息稱聯電等代工廠二次漲價 55到22nm全線告急

芯片供不應求 消息稱聯電等代工廠二次漲價 55到22nm全線告急

提到半導體工藝,大部分都關注的是最先進的7nm、5nm等尖端工藝,然而現在麻煩的反而是一些成熟工藝及8寸產能。由於供不應求,聯電等公司剛漲價沒多久,現在又要二次漲價了。 來自供應鏈的消息稱,晶圓代工廠聯電、世界先進擬再次調高報價。 據悉聯電已通知12英寸客戶,因產能太滿,必須延長交期近一個月。 同時,下游封測廠日月光投控、京元電等也因芯片產出後對封測需求大增,產能同步吃緊,也有意漲價。 目前涉及的公司如聯電、世界先進、日月光投控、京元電都沒有公開置評,不過這波漲價看起來不可避免,很有可能在春節後進行。 去年全球遭遇新冠疫情,但半導體行業因為疫情經濟反而大爆發,PC、平板、電視、遊戲機等終端消費設備需求大增,導致產能緊張。 同時,5G的需求也帶來了智能手機行業的繁榮,因為5G手機需要的半導體含量較4G手機高三、四成,部分芯片用量更是倍增。 此外,伴隨多鏡頭趨勢,導致電源管理IC、驅動IC、指紋識別芯片、圖像傳感器(CIS)等需求大開,這些芯片主要采8英寸晶圓生產,導致8英寸晶圓代工供不應求態勢延續。 因此去年年底的時候,聯電等晶圓代工廠已經漲價了一波,主要影響的是今年春季的產能,相關影響會反應在當前季度財報中。 現在第二波漲價則是針對下一季度的產品,差不多是3-4個月後出廠的芯片,影響會反映在Q2季度的財報中。 這一輪漲價也不同於之前的8英寸產能,影響也擴展到了更先進的12寸晶圓產能上,55nm到22nm在內的多個工藝節點都已經告急,市場上各種缺貨。 作者:憲瑞來源:快科技
量產已10年 台積電28nm工藝依然火爆 貢獻11%營收

量產已10年 台積電28nm工藝依然火爆 貢獻11%營收

作為全球最大也是最先進的晶圓代工廠,台積電在5nm、7nm工藝等先進工藝上無人能敵,Q4季度中5nm貢獻了20%的營收。 此外,7nm和16nm出貨量分別占晶圓總營收的29%和13%,總體來看,16nm及以上的先進技術貢獻了台積電總營收的62%。 不過台積電依然有相當多的營收來自大家並不怎麼關注的「落後」工藝,比如28nm工藝,它在Q4季度依然貢獻了11%的營收,雖然比不上5nm工藝,但也不容忽視。 要知道,台積電從2010年開始導入28nm工藝,AMD的HD 7970在2010年底首發,不過28nm真正量產要到2011年了,算起來迄今10年時間了。 對台積電來說,10年時間28nm工藝的折舊期都過去了,他們的28nm工藝代工成本要比其他廠商低得多,但台積電的報價在同級工藝中還是較高的,所以利潤率還不錯,28nm工藝可以說是台積電最長壽的工藝之一了。 28nm工藝的技術指標並不算落伍,對部分芯片,比如電源芯片,指紋識別芯片,主控芯片等來說很合適,所以台積電現在連28nm產能都是滿載的,客戶都要搶。 作者:憲瑞來源:快科技
EUV太燒錢 台積電今年砸150億美元開發3nm工藝 2022下半年量產

EUV太燒錢 台積電今年砸150億美元開發3nm工藝 2022下半年量產

台積電日前公布了2020年Q4季度財報,營收為3615.3億元新台幣,同比增長14.0%。淨利潤為1427.7億元新台幣,同比增長23.0%。 營收大漲的同時,台積電2021年的資本支出也創紀錄了,將從去年的170億美元大幅提升到250-280億美元,增幅遠超紀錄。 這麼大手筆投入主要是因為下一代工藝——3nm工藝太燒錢了,這些開支中的150億美元都是用於3nm工藝的,包括研發及建廠。 3nm節點的技術難度增大,對EUV光刻機及其他半導體設備的要求也高了,這些都是需要砸錢,1台EUV光刻機就要1億歐元以上了,3nm工藝全線都要用上EUV光刻工藝,而且層數從5nm工藝的14層提升到20層,每增加一層都是巨大的成本。 根據台積電之前的說法,3nm工藝相比5nm工藝可提升70%的晶體管密度,性能提升10-15%,功耗降低25-30%。 對於量產時間,台積電表示會在2022年下半年量產,但最近有傳聞稱三星及台積電的3nm工藝都遇到問題了,要延期,通常台積電會在Q3季度量產新工藝,因為要配合蘋果的秋季發布會。 作者:憲瑞來源:快科技

這就是手機廠商最高工藝水準麼 2020年九大聯名款手機盤點

不知從哪一年起,也不知道是哪家廠商引領的風潮,手機廠商們偶爾會展開跨界合作,籃球、足球、汽車、動漫、遊戲等行業都有所涉及,比如蘭博基尼定製版、邁凱倫定製版、哈根達斯定製版、蜘蛛俠聯名款、BMW聯名款、航母定製版等等。眼下2021年才剛起步就有人曝光了華為nova8 Pro王者榮耀定製版,魯班七號的鐳雕圖案、王者榮耀的logo、定製主題……看著不少王者榮耀玩家心癢癢。 可以瞭解到,如今的定製聯名款手機與以往在手機背面印個LOGO,改變包裝盒不同,它們不僅重新設計了手機外觀、包裝盒,而且配件、桌面主題也都進行了重新設計,大方的廠商還會送手辦,這種定製版手機不僅能讓粉絲們買單,而且廠商也能順便沖一波銷量,堪稱雙贏。 去年2020年,幾乎所有國內手機廠商都有帶來了有趣、富有情懷的聯名定製產品,所涉及的行業更廣,種類更多,產品設計上精益求精。在很多粉絲看來,它並不是手機,而是藝術、情懷。接下來不妨就大家回顧一下2020年的定製聯名款手機,看看廠商們都花了哪些小心思吧? 動漫聯名 小米10青春版哆啦A夢聯名限定款 哆啦A夢誕生於 20 世紀 70 年代,2020年是哆啦A夢誕生50週年,相信很多人小時候都希望有一個哆啦A夢陪著你吧?原本2020會上映《哆啦A夢:大雄的新恐龍》《哆啦A夢:伴我同行2》兩部電影,但因為疫情原因沒有辦法上映,不過在9月1日小米給大家彌補了50週年的遺憾,帶來了小米10青春版哆啦 A 夢聯名限定款。 該款手機機是以哆啦A夢為原型製作的,運用了經典藍色為底,背面擁有哆啦A夢卡通形象,小米的「MI」Logo位於機身右上角,明示「聯名」之意。系統UI為深度定製的哆啦A夢 MIUI主題,滿屏的哆啦A夢元素和卡通ICON,特別有親切感。 除了手機本身之外,包裝禮盒為標誌性黃色鈴鐺+哆啦A夢形象;保護殼為哆啦A夢的回眸一笑;另外還附送了哆啦A夢的手辦,適合放在書桌上。 聯名限定版只有 8GB+256GB 一種配置,售價為 2799 元人民幣,比普通版本貴 400 元人民幣。平心而論,這款聯名限定版手機對年輕人的殺傷力還是蠻大的,加上小米10青春版正好面對的是年輕群體,堪稱「夢幻聯動」。 OPPO Ace2新世紀福音戰士限定版 2020年是EVA動漫開播25週年,大廠OPPO便在這一特殊的年份給EVA漫迷們送上了驚喜,帶來了被OPPO稱為「史上最深度的聯名定製手機」——OPPO Ace2新世紀福音戰士限定版。從卡針到手機色彩,每一個細節都充斥著EVA元素,作為EVA的老漫迷還真的很感動。從卡針到手機,再到快遞包裝盒都充斥著EVA元素,讓不少EVA的漫迷們「老淚縱橫」。 快遞盒來自於NERV的機密文件,手機包裝盒從EVA駕駛艙中吸取的靈感,為插入栓包裝盒設計,不誇張的說,光看包裝盒你就知道這就是來自EVA的手機。手機運用有特殊工藝,將機身顏色還原成初號機標誌性的紫+綠色,四角擁有三個對稱黑電,對應EVA初號機胸口與腿部的黑點,復刻機身紋理、裝甲裝飾點等多個細節均有EVA元素。 打開手機系統,鎖屏、壁紙、圖標等都與新世紀福音戰士相關,在進入閃充狀態時,AT Field「絕對領域」的充電動畫就會呈現在螢幕上,細節滿分。 與其他聯名定製款一樣,配件也擁有定製元素。OPPO Ace2新世紀福音戰士限定版的卡針靈感源自朗基努斯之槍;65W超級閃充套裝以發射地台和電纜為靈感;還附加有Nerv元素的定製耳機以及帶有「出擊」字樣的保護殼配件。這款產品被不少漫迷稱之為「信仰四件套」,足以稱得上是真正意義上的「深入定製」產品了。 iQOO 3 5G變形金剛限量版 在今年5月,重新定義速度美學的iQOO 3 5G旗艦與以「汽車人」變形金剛進行了夢幻聯合,將兩者各自的元素合二為一組成了 iQOO 3 5G變形金剛限量版手機。 與普通iQOO 3不同,iQOO...
10nm及以下工藝被限制 分析稱中芯國際或重點發展成熟工藝

10nm及以下工藝被限制 分析稱中芯國際或重點發展成熟工藝

上周美國正式將中芯國際列入商務部的實體清單,此舉對中芯國際未來的發展影響很大。 ,宣稱被美國列入「實體清單「後,對於適用於美國《出口管制條例》的產品或技術,供應商必須首先獲得美國商務部的出口許可,才能供應給中芯國際; 對用於10nm及以下技術節點(包括EUV極紫外光刻技術)的產品或技術,美國商務部會採取「推定拒絕」(Presumption of Denial)的政策進行審核;中芯國際為部分特殊客戶提供代工服務也可能受到一定限制。 短期來看,不論中芯國際還是金融機構,都認為短期內影響不大,運營、財務狀況不會受到重大不利影響,至少未來3-6個月里訂單還是滿的。 不過10nm、7nm及以下工藝,因為對先進半導體裝備極為依賴,也是美國明確限制的部分,這部分是受限制最多的。 那中芯國際未來的發展會如何走呢?中金公司日前發布了最新研究結果,宣稱中芯國際未來重點或是發展成熟工藝,他們也維持了中芯國際港股的目標股價為25.5港元。 作者:憲瑞來源:快科技