Home Tags Samsung

Tag: Samsung

三星計劃2025年引入背面供電技術:採用BSPDN打造2nm晶片,進一步提高能效

三星在SEDEX 2022上,介紹了一種稱為「BSPDN(背面供電網絡)」的技術,稱經過後端互聯設計和邏輯優化,可以解決FSPDN(前端供電網絡)造成的前端布線堵塞問題,2nm晶片的性能將提高44%,功率效率提高30%。在去年的VLSI研討會上,三星又公布了最新的BSPDN研究成果,表示BSPDN相比FSPDN的面積可以減小14.8%。 據相關媒體報導,三星正在推動BSPDN的應用,計劃明年量產的2nm工藝引入背面供電技術。三星希望通過BSPDN改變遊戲規則,讓其能夠更好地與台積電(TSMC)在代工業務上競爭。 傳聞三星已經在兩個Arm內核上進行了測試,設法更好地實踐如何減少晶片的內核面積,應用BSPDN後,兩個Arm內核的晶片面積分別減少了10%和19%。隨著晶片面積的縮小,三星可以更有效地批量生產更小的SoC設計。早期的測試顯示,引入背面供電技術還成功地提高了性能和能效,甚至超出了三星制定的指標。由於尚未商業化,只是初步的技術探索,暫時還不清楚對生產成本的影響。 除了三星以外,英特爾過去也介紹了開發中的PowerVia技術,計劃在Intel 20A製程節點首次引入。這是英特爾獨有的、業界首個背面供電網絡,通過消除晶圓正面供電布線需求來優化信號傳輸。按照英特爾的說法,背面供電可以讓電晶體供電的路徑變得非常直接,可以減少信號串擾,降低功耗,同時解決了電晶體尺寸不斷縮小帶來的互連瓶頸。 ...

三星官宣業界首款36GB HBM3E 12H DRAM:12層堆疊,容量和帶寬提升50%

去年10月,三星舉辦了「Samsung Memory Tech Day 2023」活動,展示了一系列引領超大規模人工智慧(AI)時代的創新技術和產品,並宣布推出名為「Shinebolt」的新一代HBM3E DRAM,面向下一代人工智慧應用,提高總擁有成本(TCO),並加快數據中心的人工智慧模型訓練和推理速度。 今天三星宣布已開發出業界首款HBM3E 12H DRAM,擁有12層堆疊。其提供了高達1280GB/s的帶寬,加上36GB容量,均比起之前的8層堆棧產品提高了50%,是迄今為止帶寬和容量最高的HBM產品。 HBM3E 12H DRAM採用了先進的熱壓非導電薄膜(TC NCF)技術,使得12層產品與8層產品有著相同的高度規格,滿足了當前HBM封裝的要求。這項技術預計會帶來更多優勢,特別是在更高的堆疊上,業界正在努力減輕晶片裸片變薄帶來的裸片翹曲。三星不斷降低其NCF材料的厚度,並實現了業界最小的晶片間隙(7µm),同時還消除了層間空隙。這些努力使其與HBM3 8H DRAM相比,垂直密度提高了20%以上。 三星的熱壓非導電薄膜技術還通過晶片間使用不同尺寸的凸塊改善HBM的熱性能,在晶片鍵合過程中,較小凸塊用於信號傳輸區域,而較大凸塊則放置在需要散熱的區域,這種方法有助於提高產品的良品率。 三星表示,在人工智慧應用中,採用HBM3E 12H DRAM預計比HBM3E 8H DRAM的訓練平均速度提高34%,同時推理服務用戶數量也可增加超過11.5倍。據了解,三星已經開始向客戶提高HBM3E 12H DRAM樣品,預計今年下半年開始大規模量產。 ...

三星官宣Galaxy Book 4系列筆電全球發售時間:2月26日,開啟AI PC新時代

去年末,三星推出了Galaxy Book 4系列筆記本電腦,不過首批產品僅限於韓國,在今年1月2日上市。Galaxy Book 4系列共有四款產品,分別為Galaxy Book 4 Pro(14/16英寸螢幕)、Book 4 Pro 360和Galaxy Book 4 Ultra。三星還首次搭載了其自研的Knox安全晶片,以提供更為強大的安全性能。 三星已宣布,Galaxy Book 4系列筆記本電腦將於今年2月26日在全球市場發售,包括法國、德國、英國、美國等部分國家。三星表示,新產品搭載了全新的智能處理器,帶有更生動、更具交互性的顯示屏和強大的安全系統,並結合了超便攜設計、更高的性能和無限的連接性,重塑了PC體驗,開啟了AI PC的新時代。 ...

三星與Square Enix攜手,帶來990 Pro x FFVII Rebirth典藏版SSD

三星將攜手Square Enix,帶來990 PRO x FFVII Rebirth典藏版SSD。 據TechPowerup報導,990 PRO x FFVII Rebirth典藏版SSD的包裝整體尺寸為180 mm x 180 mm x 175 mm (WxDxH),盒子上印有《最終幻想VII:重生(Final Fantasy VII Rebirth)》人物的形象。盒內裝有990 Pro 2TB M.2...

三星宣布與Arm合作,以最新2nm GAA工藝優化下一代Cortex-X CPU

三星宣布,將與Arm展開合作,提供基於最新GAA(Gate-All-Around)架構電晶體技術,優化下一代ArmCortex-X CPU,進一步提高了性能和效率,將用戶體驗提升到一個新的水平。 三星表示,該計劃建立在多年來數百萬台搭載Arm CPU智慧財產權(IP)的數百萬台設備的合作基礎上,這些晶片採用了三星提供的各種工藝節點。三星確信與Arm之間的一系列公告和計劃將奠定創新的基礎,雙方制定了大膽的計劃,為下一代數據中心和基礎設施定製晶片提供2nm GAA工藝,以及突破性的人工智慧(AI)小晶片解決方案,將徹底改變未來生成人工智慧移動計算市場。 三星在2022年6月量產了SF3E(3nm GAA),引入全新的GAA架構電晶體技術。2024年計劃帶來名為SF3(3GAP)的第二代3nm工藝技術,將使用「第二代多橋-通道場效應電晶體(MBCFET)」,在原有的SF3E基礎上做進一步的優化,之後還會有性能增強型的SF3P(3GAP+),更適合製造高性能晶片。到了2025年,三星將會開始大規模量產SF2(2nm)工藝。 GAA技術允許在之前的FinFET外進一步擴展器件,通過降低電源電壓水平來提高電源效率,並通過更高的驅動電流能力增強性能,採用納米片結構的GAA實現方法提供了最大的設計靈活性和可擴展性。 ...

三星連續18年稱雄電視市場,高端及大尺寸螢幕產品助力登頂

市場研究公司Omdia最新數據顯示,三星再次稱雄電視市場,自2006年首次登頂後,已連續18年排名行業第一,2023年占據了全球電視市場30.1%的銷售額。三星官方表示,以Neo QLED、超大螢幕、強調生活方式等高價產品為中心的銷售戰略,使其連續18年保持第一的位置。 2023年裡,三星共銷售了831萬台Neo QLED和QLED電視,自2017年推出首款QLED電視以來,累計銷量已超過4400萬台,引領了高端電視市場。去年三星電視在2500美元以上的高端市場,以及75英寸以上的超大螢幕電視市場也是位居榜首,而且有持續走強的趨勢。 其中2500美元以上的高端市場更是創下了60.5%的銷售額占比,比起2022年的48.3%提升了12.2個百分點,顯示出獨一無二的影響力。75英寸以上的超大螢幕電視市場也創下了33.9%的銷售額占比,特別是98英寸的Neo QLED電視,讓三星在90英寸以上的超大螢幕電視市場的銷售份額達到了30.4%,排在了第一位。 值得一提的是,自2022年推出首款OLED電視以來,三星已售出超過100萬台OLED電視,去年市場銷售份額為22.7%。隨著今年提供更多尺寸選擇,三星預計其 OLED電視銷量將實現進一步的增長。 此外,三星計劃未來幾年通過降低售價及推出更小尺寸的產品,讓Micro LED電視更容易被消費者接受。 ...

三星990 EVO SSD開售:最大2TB,首發價1179元

三星上個月發布了990 EVO,這是首款同時支持PCIe 4.0 x4及PCIe 5.0 x2通道的SSD,也是自2019年推出970 EVO Plus以後,該產品線的再次更新。目前新產品已登陸電商平台,提供了1TB和2TB容量可選,曬單抽百元E卡,廠商提供五年質保。 990 EVO SSD 1TB,首發價不高於679元,京東地址:點此前往>>>990 EVO SSD 2TB,首發價不高於1179元,京東地址:點此前往>>> 990 EVO面向中端市場,為2280 M.2規格,重量約為9g,最大連續讀寫速度分為5000MB/s和4200MB/s,最大隨機讀寫則分別為700K IOPS和800K IOPS,最大TBW為1200。其採用了三星的V-NAND TLC,搭載了自研主控晶片,MTBF為1,500,000小時,帶有智能散熱解決方案,支持三星魔術師固態硬碟管理軟體。 990 EVO可以說是一款PCIe 5.0 SSD,不過只有2個通道,理論上帶寬與PCIe 4.0的4個通道是一樣的。按照一般的SSD,如果接口是PCIe 5.0...

三星Exynos 2400量產良品率為60%,不及台積電N4P的70%

三星在去年10月,宣布推出新一代移動處理器Exynos 2400。三星在Exynos 2200基礎上進行了多項改進,其中CPU性能提升了70%,人工智慧(AI)工作負載加速更是提升了驚人的14.7倍,同時GPU還引入了RDNA 3架構,提供了改進的遊戲和光線追蹤性能。 Exynos 2400採用了三星4LPP+工藝製造,近日有網友透露,其良品率為60%,相比於台積電(TSMC)N4P的70%要低一些,但是考慮到三星大約12到18個月前的良品率僅為25%,已經是一個巨大的進步了。 雖然4LPP+不如N4P,Exynos 2400的性能也不及高通第三代驍龍8,但差距已經大大縮小。接下來三星很可能會提高產量,即便良品率保持在60%。傳聞谷歌今年晚些時候的Tensor G4也可能採用4LPP+工藝製造,而且設計與Exynos 2400有相似之處,不知道屆時良品率是否會繼續提升。 Exynos 2400的CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心。此外,Exynos 2400搭載了名為「17K MAC」的NPU。集成的5G基帶能夠提供12.1Gbps的下行速率和3.67Gbps的上行速率,支持Sub-6GHz,下行/上行速率達到9.64/2.55Gbps。 ...

三星希望將2nm生產線留在本土,最尖端晶片製造技術不外流

目前三星在美國和日本都有涉及晶片生產設施的項目,將生產線擴展到其他地區,不過更希望將最尖端的晶片製造技術留在本土。抱有同樣想法的不僅僅是三星,台積電(TSMC)也打算這麼做。 據Sammobile報導,三星計劃明年開始在韓國生產2nm晶片,並計劃至2047年間,投資500萬億韓元(約合3753.4億美元/人民幣27000億元),在首爾附近打造大型半導體集群,共有13座工廠和3座研究所,橫跨京畿道的幾個城市。台積電目前也在台灣新竹科技園和高雄科技園建造2nm工廠,並爭取在台中建造另外一座2nm工廠。 雖然三星和台積電都在海外建造晶片生產設施,不過或多或少都面臨一些問題,包括人才短缺和當地政府補貼。三星自2021年起,就在美國德克薩斯州建造一座4nm工廠,但是計劃已經被推遲了,從2024年延後至2025年。台積電在美國亞利桑那州也有兩座在建的工廠,同樣選擇了4nm工藝,投產時間從2025年推遲到2026年。這些工廠投入運營時,都比三星和台積電在本土建造的工廠所使用的半導體製造技術落後兩代左右。 全球其他地區都有各種針對半導體設施建造的補貼,可是三星和台積電並沒有選擇進行太大規模的投資,也沒有完全拒絕這些補貼。有分析人士稱,海外建廠都面臨相同的問題,或多或少與成本有關,比如台積電在美國建造的設施,建廠成本要比在台灣高出40%。 ...

三星公布2023年第四季度及全年財報:晶片寒潮致去年營業利潤大減近85%

近日,三星公布了2023年第四季度和全年財報。 財報顯示,三星2023年第四季度的營收為67.78萬億韓元(約合人民幣3646.56億元),低於去年同期為70.46萬億韓元,同比下降3.81%,略高於上一個季度的67.40萬億韓元;營業利潤為2.82萬億韓元(約合人民幣151.72億元),低於去年同期為4.3萬億韓元,同比下降34.4%,相比上一個季度的2.43萬億韓元略有增加;毛利潤為21.66萬億韓元(約合人民幣1165.31億元),略低於去年同期的21.84萬億,稍微高於上一個季度的20.79萬億韓元;淨利潤為6.34萬億韓元(約合人民幣341.09億元),高於上一季度的5.84萬億韓元,但低於去年同期的9.31萬億韓元。 三星2023年全年營收為258.94萬億韓元(約合人民幣13930.97億元),相比2023年的302.23萬億韓元下降了14.3%;營業利潤為6.57萬億韓元(約合人民幣353.47億元),遠低於去年的43.34萬億韓元,大幅度下降了84.86%,自2008年以來首次跌破10萬億韓元;淨利潤為15.49萬億韓元(約合人民幣833.36億元),也遠低於去年同期的55.65萬億韓元,下降了72.17%。 作為全球最大的晶片製造商之一,過往半導體業務一直是三星搖錢樹。不過去年全球存儲晶片陷入了前所未有的低迷,讓三星損失慘重,創紀錄地虧損了14.9萬億韓元(約合人民幣801.62億元),要知道前一年還盈利23.8萬億韓元。在2023年第四季度,三星的業績表現有所改善,不過即便連續三個季度營業利潤增長,也仍然低於市場最初預期的3萬億韓元目標。 三星表示,預計2024年上半年的營收會持續改善,下半年會有較為明顯的改變。 ...

三星准備推出第9代280層V-NAND快閃記憶體,將在ISSCC 2024做展示

ISSCC 2024(IEEE 國際固態電路會議)將於2月18日至22日在美國舊金山舉行,此前大會已確認,三星將介紹其最新的GDDR7內存技術,速率達到了37Gb/s,為16Gb模塊。 據TomsHardware報導,三星正在准備發布第9代V-NAND技術的產品,為1Tb(128GB)QLC 3DNAND快閃記憶體晶片,達到了280層,相比第8代V-NAND技術的236層有了進一步的提高。其存儲密度達到了28.5Gb mm2,將高於目前業界最高的長江存儲產品,後者的存儲密度為20.62Gb mm2。同時I/O速率達到了3.2 Gbps,相比第8代V-NAND技術的2.4 Gbps也要快得多。 作為全球最大的NAND快閃記憶體供應商,三星對其V-NAND技術的開發制定了宏偉的計劃。去年三星曾表示,2024年初將開始生產第9代V-NAND技術的產品,繼續沿用雙堆棧架構,擁有業界最高的層數。不過當時三星稱層數將超過300層,比現在的280層還要更高一些。如果條件允許,三星可能會提供容量為16TB的M.2 SSD,或者是單面8TB的產品。 據了解,三星在ISSCC 2024上將展示這款280層QLC 3D NAND快閃記憶體。此外,三星還打算展示新一代DDR5晶片,頻率達到了8000 MHz,為32Gbit模塊,採用了三星第五代10nm級工藝技術製造。 ...

ISSCC 2024預告:三星將展示速率為37Gb/s的GDDR7

ISSCC 2024(IEEE 國際固態電路會議)將於2月18日至22日在美國舊金山舉行,不少廠商會選擇在這次大會上展示最新的半導體製造技術。其中三星將介紹其最新的GDDR7內存技術,速率達到了37Gb/s,為16Gb模塊。 早在去年7月,三星就宣布已完成了業界首款GDDR7晶片的開發工作,每個數據I/O接口的速率達到了32Gbps,並承諾GDDR7在能效方面相比GDDR6會有20%的提升。首款16Gb GDDR7晶片在位寬為384位的情況下,提供了高達1.536 TB/s的帶寬,遠遠超過了目前GeForce RTX 4090的1.008 TB/s。 與現有GDDR6使用的NRZ/PAM2或GDDT6X的PAM4信號編碼機制不同,GDDR7採用的是PAM3信號編碼機制。NRZ/PAM2每周期提供1位的數據傳輸,PAM4每周期提供2位的數據傳輸,而PAM3每兩個周期的數據傳輸為3位。由於GDDR7使用的PAM3信號編碼機制更加復雜,控制器需要更強大的功能,消耗不一定比GDDR6少。 為此三星引入了具有高導熱性的環氧模塑化合物(EMC),讓GDDR7封裝的熱阻降低了70%,以確保有源組件不會過熱,在高速運轉時仍有穩定表現。三星在去年末表示,在過去的一年裡不斷改善GDDR7的動態功耗,且通過額外的時鍾控制,已經將待機功耗降低至GDDR6的一半水平。 ...

三星今年或帶來更便宜的Galaxy Z Fold機型,以提高可折疊手機的市場滲透率

自2019年推出首款可折疊機型Galaxy Fold以來,三星從2020年至2023年之間,每年都會發布Galaxy Z Fold/Flip系列,目前已來到Galaxy Z Fold 5/Flip 5。雖然已經有不少競爭對手加入到可折疊智慧型手機這一細分市場,推出了相當數量的可折疊機型,甚至有消費者認為三星的產品不再是最好的選擇,但是總的來看,三星仍然占據一定的優勢。 據The Elec報導,三星正在考慮今年推出更便宜的Galaxy Z Fold機型,屬於Galaxy Z Fold 6系列的入門款,計劃在今年下半年發布。這是三星首次考慮入門級可折疊機型,目標是提高可折疊智慧型手機的市場滲透率,特別是擴大中國可折疊智慧型手機市場的份額。 不過三星也有顧慮,考慮到自身的經營形勢並不是很好,以及全球經濟不景氣,最好的策略還是集中資源在高端產品的開發和銷售上,入門級可折疊機型可能會影響其盈利能力。目前除了蘋果以外,大部分主要的智慧型手機廠商都推出了可折疊機型。 有業內人士稱,隨著華為的回歸,其提出的輕薄概念可折疊設計讓三星感到有些緊張,而且Galaxy Z Fold 5/Flip 5因相對保守的設計受到了不少批評。華為Mate X5厚度為11.1mm,而三星的Galaxy Z Fold 5是對方厚度的1.3倍,雖然前者更薄,但是電池容量卻更大。傳聞華為制定了積極的策略,有意推出入門款,降低可折疊機型的售價,這將對三星造成更大的壓力。 ...

三星正在試產第二代3nm工藝,SF3預計今年晚些時候全面投產

三星在2022年6月量產了SF3E(3nm GAA),引入全新的GAA(Gate-All-Around)架構電晶體技術。今年三星計劃帶來名為SF3(3GAP)的第二代3nm工藝技術,將使用「第二代多橋-通道場效應電晶體(MBCFET)」,在原有的SF3E基礎上做進一步的優化,之後還會有性能增強型的SF3P(3GAP+),更適合製造高性能晶片。 據相關媒體報導,三星已開始採用第二代3nm工藝進行晶片的試產,還會測試晶片的性能和可靠性,目標是為了六個月內將良品率提升至60%以上,下半年能實現量產。對於三星和整個行業來說,這都是一件大事。憑借SF3,三星希望2024年有機會與台積電(TSMC)的先進工藝展開競爭。 有消息稱,三星計劃將SF3首先用於一款可穿戴設備所使用的晶片上,或許是Galaxy Watch 7所搭載的晶片。此外,三星還計劃在明年Galaxy S25系列智慧型手機所採用的Exynos 2500上,採用SF3製造。在三星看來,新的SF3可以使用不同寬度的MBCFET器件,從而提供了更大的設計靈活性。 目前三星還在改進其4nm工藝,除了投產的SF4P(4LPP+),還計劃推出用於高性能CPU和GPU的SF4X(4HPC),不過屆時台積電也會帶來名為N3P的增強型3nm工藝。 ...

三星公布Exynos 2400更多細節:10核CPU,最高3.2GHz,5G下行速率12.1Gbps

三星在去年10月,宣布推出新一代移動處理器Exynos 2400。三星在Exynos 2200基礎上進行了多項改進,其中CPU性能提升了70%,人工智慧(AI)工作負載加速更是提升了驚人的14.7倍,同時GPU還引入了RDNA 3架構,提供了改進的遊戲和光線追蹤性能。遺憾的是,當時三星並沒有公布Exynos 2400的完整信息。 隨著Galaxy Unpac即將到來,三星公布了Exynos 2400的更多細節信息。其CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心,頻率和之前流出的信息有所不同。此外,Exynos 2400搭載了名為「17K MAC」的NPU。 Exynos 2400可以驅動4K@120Hz或QHD+@144Hz的顯示屏,支持單個320MP攝像頭,以8K@30fps錄制視頻,或者進行8K@60fps的解碼。集成的5G基帶能夠提供12.1Gbps的下行速率和3.67Gbps的上行速率,支持Sub-6GHz,下行/上行速率達到9.64/2.55Gbps。 三星暫時還沒有將3nm GAA工藝用於生產智慧型手機使用的SoC,這次Exynos 2400採用的是4LPP+工藝,與早期的4nm工藝相比,有著更高的能效表現,不過比起高通第三代驍龍8所採用的台積電(TSMC)N4P工藝,仍然有一定的差距。 ...

三星S65UC顯示器開售:WQHD@100Hz曲面屏,帶KVM和揚聲器,首發到手3299元

近日,三星推出了S65UC顯示器,屬於曲面高分產品。目前新產品已登陸電商平台,並開始銷售了,首發到手價不高於3299元,可享3期白條免息分期,另外曬單返50元E卡,具體可咨詢在線客服工作人員。 三星S65UC顯示器,京東地址:點此前往>>> 三星表示,S65UC顯示器面向工作、學習和娛樂應用場景,曲面與人的視線范圍相符合,寬高比迎合了用戶的視野,可以更好地利用螢幕空間,助力舒適使用,實現高效工作,拓寬創意領域。其採用了34英寸超寬曲面顯示屏,曲率為1000R,10bit色深,顯示比例為21:9,解析度為WQHD(3440 x 1440),刷新率為100Hz,sRGB色域為113%,支持HDR10和AMD FreeSync技術,低閃屏功能減少了藍光傷害,能夠自適應亮度色溫,並獲得了TUV智能護眼認證。 S65UC顯示器配備了兩個HDMI接口和全功能USB-C接口(兼容DisplayPort Alt-Mode,PD 90W反向供電),另外還有LAN接口和音頻插孔,並帶有USB集線器功能,配置了USB 3.0接口,同時內置了揚聲器,其內置了KVM功能,支持PBP/PIP分屏功能,雙信號源輸入後可通過系統中開啟分屏或畫中畫功能,用戶可通過一個螢幕進行更便捷的多任務處理。此外,還配備了人體工程學支架,可實現前後俯仰和水平旋轉調節。 ...

三星正在開發新型LLW DRAM:高帶寬、低延遲、低功耗的記憶體技術

隨著人工智慧(AI)相關軟硬體激增,為了應對超大規模的市場,三星正在向市場推出基於特定應用要求的存儲組合產品,包括DDR5、HBM類產品、CXL內存模塊等,為各種人工智慧技術提供動力。 近日,三星官方介紹了旗下存儲產品的開發和技術應用情況。其中談到了正在開發一種新型存儲器,稱為「Low Latency Wide I/O(LLW)DRAM」,將高帶寬、低延遲、低功耗的特性結合在一起。三星將新的內存技術定位在需要運行大型語言模型(LLM)的設備上,未來也可能出現在各種客戶端工作負載中。 LLW DRAM作為一種低功耗內存,擁有寬I/O、低延遲、每個模塊/堆棧提供了128GB/s的帶寬,與一個128位DDR5-8000內存子系統的帶寬相同。LLW DRAM另一個重要特性是1.2pJ/bit的超低功耗,不過三星沒有告知該功耗下的具體數據傳輸速率。 事實上,三星並沒有透露LLW DRAM太多的細節信息,盡管已經對寬接口內存技術(比如GDDR6W)探索了一段時間了。據了解,LLW DRAM在設計上可能會借鑒GDDR6W,並採用扇出晶圓級封裝(FOWLP)技術將多個DRAM集成到一個封裝中。 由於三星已公布技術的預期性能細節,根據過往經驗,LLW DRAM很可能到了開發階段的尾聲。 ...

三星發布990 EVO SSD:同時具有PCIe 4.0 x4和PCIe 5.0 x2接口

三星靜悄悄地發布了990 EVO SSD,並放出了產品的頁面。新產品有著獨到的設計,是首款同時支持了PCIe 4.0 x4及PCIe 5.0 x2通道的SSD。自2019年推出970 EVO Plus以後,三星已經很長時間沒有更新這條產品線了,原有的產品也逐漸從主流變成入門級。 雖然三星的SSD產品線更多地以990 PRO這樣的高端型號而出門,不過990 EVO面向中端市場,為2280 M.2規格,重量約為9g,最大連續讀寫速度分為5000MB/s和4200MB/s,最大隨機讀寫則分別為700K IOPS和800K IOPS。其採用了三星的V-NAND TLC,搭載了自研主控晶片,MTBF為1,500,000小時,支持三星魔術師固態硬碟管理軟體。 990 EVO可以說是一款PCIe 5.0 SSD,不過只有2個通道,理論上帶寬與PCIe 4.0的4個通道是一樣的。按照一般的SSD,如果接口是PCIe 5.0 x2,那麼插入到PCIe 4.0 x4規格的M.2插槽,同樣也是2個通道,那麼速度就會減半。不過990 EVO不一樣,這時候能換成PCIe...

三星全面推進自動化晶片製造:目標2030年實現無人半導體生產設施

近年來,依賴於人工智慧(AI)、物聯網(IoT)和5G網絡等技術,許多工廠都引入了更多的製造機器人,以實現更為集中、智能且高效的自動化製造過程。比如華碩去年就啟用了首個人工智慧工廠,由各種AIoT技術提供支持,包括3D數字孿生系統、AR平台、用於工廠物流的自主移動機器人(AMR)、以及人工智慧驅動的缺陷檢測系統,以助力其實現工業4.0目標,提高製造效率並改善ESG成果。 據ET News報導,三星正在開發智能感應系統(Smart Sensing System),旨在提高產量並改變半導體工廠的運營方式。該系統主要用於實時監控和分析生產過程,目前嘗試自動處理等離子體的均勻性問題。由於半導體製造中蝕刻、沉積和清潔等過程的結果會明顯受到等離子體均勻性的影響,對於精確測量和管理的要求很高 三星的最終目標是,在2030年之前使其晶圓廠完全實現自動化,成為無人半導體生產設施。實現這一目標需要開發能夠管理大量數據並自動優化設備性能的系統,而智能感應系統是該計劃的重要組成部分,能在工廠運轉中發揮關鍵作用。三星已經為此投資了大筆資金,希望能夠獲得長期回報。此外,三星還選擇與學術機構及合作夥伴尋求合作。 這些新型傳感器的一個重要特點是尺寸很小,可以安裝在現有的晶圓廠中,不需要額外的空間,對於潔淨室來說是非常寶貴的。與過往嚴重依賴外國工具來實現先進半導體工藝不同,新型傳感器都是在韓國設計和製造,這表明三星在開發智能感應系統上的一個重大轉變,即一定程度減少對國外的依賴。 ...

三星寄望晶片業務翻身:目標2024年賺取88億美元利潤

半導體部門長期以來都是三星最賺錢的業務部門之一,不過最近一段時間里,日子過得很艱難。此前有報導稱,2023年三星半導體部門虧損嚴重,甚至可能超過100億美元(約合人民幣714.61億元)。有分析人士認為,這樣的業績表現可能比市場預期的還要差。 據Sammobile報導,三星寄望2024年晶片業務可以實現大逆轉,完全扭轉2023年的頹勢,目標是賺取88億美元的利潤。 三星半導體部門在2023年最大的虧損來自於DRAM和NAND快閃記憶體供應過剩,為此在過去一年裡,不斷減少產量並為削減庫存作出了巨大的努力。去年10月起,三星的策略開始收到了效果,市場價格出現了反彈,PC和智慧型手機的需求也推動了復蘇。不得不提的是,三星還利用了人工智慧(AI)的熱潮大量出貨HBM類產品,數據顯示其全球市場占有率達到了47%左右。 雖然三星似乎已開始看到一絲曙光,但較高的庫存仍然對後續的經營構成風險。有消息稱,三星半導體存儲器的庫存達到了150億美元,而且不少是過時的產品,可能最後不得不打折出售。當然,三星會繼續執行削減NAND快閃記憶體產量的策略,以進一步提高定價。 ...

三星公布2024年全新OLED遊戲顯示器,擴展Odyssey系列產品陣容

三星宣布,新款Odyssey系列OLED遊戲顯示器將會在CES 2024上首次亮相,為遊戲玩家提供更高性能及視覺清晰度的產品。其包括了多款產品,分別有Odyssey OLED G9(型號G95SD),Odyssey OLED G8(型號G80SD)和Odyssey OLED G6(型號G60SD)。 Odyssey OLED G9(型號G95SD)採用了49英寸曲面OLED螢幕,解析度為5120 × 1440(DQHD),刷新率為240Hz,響應時間(GtG)為0.03ms,支持AMD FreeSync Premium Pro,得到了VESA DisplayHDR TrueBlack 400認證。另外還支持三星智能電視、三星遊戲中心和Core Lighting+,配備了兩個HDMI 2.1接口和一個DP 1.4接口,並帶有USB集線器功能。 Odyssey OLED G8(型號G80SD)採用了32英寸OLED螢幕,解析度為3840 ×...

三星官宣Galaxy Unpacked 2024:1月18日發布Galaxy S24系列

三星官方宣布,將於2024年1月18日凌晨2點舉辦Galaxy Unpacked 2024,地點是美國加利福利亞州聖何塞。毫無疑問,在這次Galaxy全球新品發布會上,三星將發布新一代Galaxy S24系列智慧型手機,其中包括Galaxy S24、Galaxy S24+和Galaxy S24 Ultra三款機型。 昨天就有報導稱,三星從2024年1月2日起,已經向媒體和其他相關人員發出官方邀請函,參加這次活動。 准備好,一起迎接Galaxy AI時代。 從您生活中重要的電子設備開始,釋放全新的創造力,生產力和可能性。 這就是屬於你的AI手機。 Galaxy AI,來了。三星表示,革命性的移動體驗即將到來,准備好發現一個充滿可能性的新時代,利用最新的Galaxy創新技術,改變生活、聯系和創造方式。這次全新的Galaxy S24系列將為迄今為止最智能的移動體驗設定更高標准,屆時將揭示最新的Galaxy創新,提供一種基於AI的全新移動體驗。 Galaxy S24系列將重新啟用雙平台策略,屆時將分別會有搭載Exynos 2400和第三代驍龍8的版本,前者將用於歐洲等特定地區,但不會出現在Galaxy S24 Ultra上。傳聞Galaxy S24將堅持8GB內存的配置,Galaxy S24+和Galaxy S24 Ultra則是可選8GB和12GB,另外會帶來一些令人興奮的升級,比如Galaxy S24+會配備1440P螢幕、Galaxy S24 Ultra採用鈦合金框架等。據稱,新機型的定價與今年的產品差不多。 ...

傳三星發出今年「Galaxy Unpacked」活動邀請,1月17日發布Galaxy S24系列

今年三星將帶來Galaxy S24系列智慧型手機,包括Galaxy S24、Galaxy S24+和Galaxy S24 Ultra三款機型。三星希望通過提早發布新產品,向競爭對手施加更大壓力,以奪回失去的全球市場份額。 近日有網友透露,三星會從2024年1月2日起,向媒體和其他相關人員發出官方邀請函,參加三星在美國加利福利亞州聖何塞舉辦的「Galaxy Unpacked」活動,也就是Galaxy S24系列智慧型手機的發布會。 此前有報導稱,客戶可以在韓國首爾時間1月19日開始預訂Galaxy S24系列,首批設備會在1月26日至1月30日發送。據稱定價與今年的Galaxy S23系列差不多。傳聞Galaxy S24將堅持8GB內存的配置,Galaxy S24+和Galaxy S24 Ultra則是可選8GB和12GB,另外會帶來一些令人興奮的升級,比如Galaxy S24+會配備1440P螢幕、Galaxy S24 Ultra採用鈦合金框架等。 Galaxy S24系列應該是三星首款帶有人工智慧(AI)功能的智慧型手機,配備了三星內部開發的人工智慧技術。三星還可能將Bixby重新命名為Galaxy AI,三星已經公布了其首個人工智慧應用,通話時音頻和文本翻譯將實時顯示在手機螢幕上,有效提升了不同語言人士之間的交流效率與流暢度。 ...

三星將為影像傳感器引入更多AI功能,或改變未來智慧型手機拍照

過去多年裡,智慧型手機攝像頭已經取得了長足的進步,這與索尼和三星在影像傳感器方面的努力密不可分。2023年業界的一大熱點話題就是人工智慧(AI),似乎已逐漸滲透到未來不同產品技術的開發里。 據Wccftech報導,三星正在研究開發一種具備人工智慧的圖像傳感器,以向索尼發起挑戰。雖然短期內這似乎沒有多大意義,但長遠來看,有可能徹底改變智慧型手機的拍照。根據一份新的報告,三星努力為圖像傳感器引入更多人工智慧的功能,並用於未來的Galaxy S系列智慧型手機。 去年三星就在新發布的移動處理器Exynos 2400上,介紹了全新的Zoom Anyplace技術,其中便利用了人工智慧技術。其使用自家的2億像素圖像傳感器,在不影響圖像質量的情況下,最高可拍攝4倍特寫;同時在拍攝移動中的物體時,可緩解主體模糊的情況;另外可以自動實時跟蹤和捕捉物體,以全屏方式進行錄制,提供更豐富的細節。 三星並不是唯一有這方面想法的廠商,SK海力士在去年的活動里就透露,如何將人工智慧晶片集成到影像傳感器,從而減少延遲、提高圖像質量、降低功耗,而且包括人臉和物體識別在內的許多功能正在測試當中。雖然SK海力士的影像傳感器主要供應中低端智慧型手機,但是也想利用人工智慧爆發的契機,爭奪更多的市場份額。 短時間內,具備人工智慧的圖像傳感器或許還不能起到多大作用,但確實看起來非常吸引,也會為產品增加新的賣點。 ...

三星宣布與Red Hat合作,引領CXL記憶體生態系統的擴展

三星宣布,與開源軟體供應商Red Hat合作,在實際用戶環境中成功驗證了Compute Express Link(CXL)內存的操作。三星稱這在業界裡尚屬首次,將引領其CXL內存生態系統的擴展。 由於生成式人工智慧(AI)、自動駕駛和內存資料庫(In-Memory Database,IMDB)等新興領域的數據吞吐量和內存需求呈指數級增長,對具有更大內存帶寬和容量的系統的需求也在增加。CXL作為一種開放性的互聯協議,擁有更高的帶寬,能夠讓CPU與GPU、FPGA或其他加速器之間實現高速高效的互聯,滿足現今高性能異構計算的要求,並且提供更高的帶寬及更好的內存一致性。作為下一代接口,與主DRAM一起使用的時候,可以擴大帶寬和容量,滿足計算市場高速數據處理的需求。 在最新的開發中,三星針對Red Hat Enterprise Linux(RHEL)9.3做了CXL內存的優化工作,並驗證了在Red Hat的KVM和Podman環境下的內存識別、讀寫操作。這讓數據中心客戶可以輕松使用三星CXL內存,無需對現有硬體進行額外調整。三星和Red Hat還在合作編寫「RHEL 9.3 CXL內存啟用指南」,以幫助用戶在該作業系統上使用三星的CXL內存,並在各種用戶環境中構建高性能計算系統。 三星和Red Hat的合作始於2022年5月,雙方簽署了關於下一代存儲器合作的諒解備忘錄(MOU),並將通過三星存儲器研究中心(SMRC)繼續開發CXL開源和參考模型。目前雙方合作的范圍涵蓋一系列存儲和內存產品,包括NVMe SSD、CXL內存、計算內存/存儲和結構。 ...

三星推出Galaxy Book 3 Go筆電:搭載第三代驍龍7c+計算平台,支持5G網絡連接

三星宣布,推出Galaxy Book 3 Go筆記本電腦。這是一款價格相對實惠的Windows on Arm,接替去年推出的Galaxy Book 2 Go,安裝了Windows 11 Home作業系統,並帶有5G網絡連接。 Galaxy Book 3 Go整體尺寸為323.9 x 224.8 x 15.5 mm,重量為1.43kg,與Galaxy Book 2 Go搭載了相同的處理器,都是第三代驍龍7c+計算平台,採用6nm工藝製造,CPU配有4個Cortex-A78內核和4個Cortex-A55內核,另外還有Adreno GPU。其受益於FastConnect 6700移動連接系統,帶來了更好的Wi-Fi...

三星為控制Galaxy S24系列成本,128GB版僅支持UFS 3.1標准

此前有報導稱,在明年初即將到來的Galaxy S24系列上,三星不打算升級內存配置, 為削減成本不會提供16GB版本。此外,三星選擇推出搭載Exynos 2400的Galaxy S24系列產品,其中一個原因也是為了更好地控製成本,新一代產品售價對比Galaxy S23系列保持不變。 近日有網友表示,收到了來自三星供應鏈的爆料,Galaxy S24系列的128GB存儲版本僅支持UFS 3.1標准,而不是其他同系列產品的UFS 4.0標准。這意味著三星為了貫徹執行成本控制策略,在下一代旗艦系列智慧型手機的讀寫速度上作出了妥協。 目前三星基於UFS 4.0標準的最新存儲晶片搭配專有的主控時,順序讀取速度最高可達4200 MB/s,相比之前基於UFS 4.0標準的解決方案節能46%,且帶寬提升了一倍。加上IOPS的提高,基於新標準的存儲可以使手機有更快的響應速度,打開應用程式和其他任務變得更輕松。當然,普通用戶可能很難注意這一點差異,傳聞高通第三代驍龍8的定價比起第二代驍龍8更貴,三星不得不在其他配置上選擇妥協。 一些智慧型手機製造商宣傳自家產品整合了一流的核心硬體時,也會同時選擇其他一些零部件上縮水,比如圖像傳感器,以便於控製成本。像三星這樣在存儲解決方案上降低標准也是常見的手段,而且很多時候日常使用中沒有明顯差別,用戶不一定能感覺到配置的差異。 ...

傳三星將Exynos 2400的GPU頻率提高近一倍,Xclipse 940的CU數量不變

今年10月份,三星推出了新一代移動處理器Exynos 2400。其中GPU部分採用了Xclipse 940,以RDNA 3架構為基礎,提供了改進的遊戲和光線追蹤性能,不過三星並沒有給出具體的規格信息。 近日有網友透露,三星大幅度提高了Xclipse 940 GPU的頻率,相比起Exynos 2200搭配的Xclipse 920,從555 MHz提升至1095 MHz,幾乎實現了翻倍。同時Xclipse 940與Xclipse 920一樣,均配備了6個WGP、12個CU。有傳言稱,Xclipse 940搭配了14GB的顯存使用,理論上足以運行目前啟用光線追蹤技術的最新手機遊戲。 毫無疑問,提高頻率確實是最直接讓Xclipse 940 GPU獲得性能提升的方法。此前泄露的基準測試顯示,Exynos 2400的圖形性能似乎很不錯,接近於高通第三代驍龍8的成績,後者採用了Adreno 750 GPU。 過去有消息稱,三星因為Exynos 2200的發熱問題,降低了CPU和GPU的頻率,顯然這會非常影響其性能的發揮。傳聞Exynos 2400採用了4nm LPP+工藝製造,並首次應用了扇出型晶圓級封裝(FoWLP)封裝,三星希望占用更少的封裝面積,同時也讓晶片做得更薄,並改善晶片的散熱表現。 此外,Exynos 2400的CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心。按照三星的說法,性能比起Exynos 2200提升了70%, ...

mini LED電視市場戰況激烈,中國企業對三星展開猛烈攻勢

今年在高端電視市場上,原本占有壓倒性市場份額的三星出貨量下降,其中mini LED電視產品的市場占有率大幅下滑,而TCL和海信等中國電視企業的銷量正在增加。韓國企業在高端電視市場主打的OLED電視產品,與中國企業的mini LED電視產品競爭也變得更加激烈。 據Business Korea報導,供應鏈的統計數據顯示,截至今年的第三季度,三星在全球mini LED電視市場的占有率為39%,海信和TCL分別以27%和26%緊隨其後,接下來的LG和索尼則分別只有4%和1%。 要知道在去年,三星在全球mini LED電視市場的占有率保持在70%以上,但是今年的出貨量比去年減少了26%,使得市場占有率大幅下滑。與此同時,海信的mini LED電視出貨量在短短一年內增加了18倍,而TCL的出貨量同比增長了112%。 在過去三四年裡,mini LED電視蓬勃發展,隨著mini LED組件的供應鏈在大中華區建立,進一步鞏固了中國企業的價格優勢。TCL通過其子公司華星光電,更是成功地垂直整合力完整的面板和產品線,確保了穩定的供應。隨著中國企業在mini LED領域越來越強勢,也開始挑戰三星和LG在高端電視市場的霸主地位。數據顯示,mini LED電視在高端細分市場已經成為OLED電視強有力的競爭對手,今年第三季度出貨量同比增長26%,達到了90.5萬台,緊跟OLED電視的136萬台。 去年TCL的電視出貨量首次超越LG,登上了第二名,而今年海信已升至第三名。三星和LG希望能利用技術優勢,應對中國企業的低成本戰略。 ...

三星將在日本建造先進半導體封裝研發基地:投資總額達到400億日元

近兩年來,封裝和測試設施越來越受到重視,技術層面的研究也變得更加深入。為了適應新一代晶片的製造要求,不少晶圓代工廠都在加快配套的先進設施建設,並加大相關技術的研究,投資規模也越來越大。 據Business Korea報導,三星計劃在日本橫濱建造先進半導體封裝研發基地,一方面期待未來通過3D堆疊提高晶片性能,另一方面會將研究重點放在人工智慧和第5代移動通信網絡的半導體封裝處理技術上。 三星之所以選擇在日本打造先進封裝研究中心,與供應鏈的合作是關鍵。目前三星正在與日本的材料及設備企業共同開發先進半導體技術,其中包括世界第四大半導體設備製造商東京電子(TEL)以及佳能、TDK和村田製作所等知名公司。此外,三星還計劃至2027年底,在當地聘用100多名半導體專家。 據了解,三星最初計劃投資300億日元,不過在日本政府的積極勸說及加大補助的承諾下,三星將投資總額提高至400億日元,其中政府補貼占了一半,達到了200億日元。日本政府希望以三星的投資為契機,吸引更多國外的投資,提高自身半導體產業的競爭力。 ...

三星考慮為2nm訂單提供折扣,3nm GAA良品率仍然不穩定

三星和台積電(TSMC)都計劃在2025年量產2nm工藝,而三星希望能搶先一步實現量產,以速度壓倒對方,從而在新一代製程節點上獲得競爭優勢。盡管三星和英特爾最近都非常努力,採取了各種積極的措施,使得2nm代工的競爭加劇,但種種跡象表明,台積電仍將獲得最多的訂單,而且優勢是巨大的。 據TrendForce報導,三星為了獲得英偉達等行業巨頭的訂單支持,正在考慮為2nm訂單提供折扣,以進一步挑戰台積電的領導地位。三星希望經過兩年左右時間的調整後,能在2nm訂單爭奪中超過台積電。 三星在去年6月量產了SF3E(3nm GAA),引入全新的GAA(Gate-All-Around)架構電晶體技術。明年計劃帶來名為SF3(3GAP)的第二代3nm工藝技術,將使用「第二代多橋-通道場效應電晶體(MBCFET)」,在原有的SF3E基礎上做進一步的優化,之後還會有性能增強型的SF3P(3GAP+),更適合製造高性能晶片。到了2025年,三星將會開始大規模量產SF2(2nm)工藝。 據了解,目前三星在3nm GAA工藝上的良品率為60%,距離70%的及格線始終有一段距離。同時三星的良品率非常不穩定,使得高通取消了第四代驍龍8的雙代工廠計劃,至少未來一年仍完全依賴台積電,新的代工策略被迫推遲至2025年。良品率始終是三星需要面對的首要問題,如果在2nm工藝上得不到解決,即便提供更大的折扣,有著明顯的價格優勢,也難以吸引大客戶的訂單。 ...

三星與ASML達成協議:獲得High-NA EUV光刻設備技術的優先權

近年來,ASML站到了世界半導體技術的中心位置。目前ASML有序地執行其路線圖,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,預計會在未來幾個月內交付。 數周前,三星電子會長前往荷蘭,與ASML討論了幾項半導體業務。據Sammobile報導,三星已在上周與ASML簽署了一項價值1萬億韓元(約合7.7億美元/人民幣54.9億元)的協議,雙方將在韓國京畿道東灘投資建設半導體晶片研究設施,並在那裡共同努力改進EUV光刻製造技術。 這次三星獲得了High-NA EUV光刻設備技術的優先權,有助於確保購入下一代High-NA EUV光刻設備,為其DRAM存儲晶片和邏輯晶片的生產創造出優化High-NA EUV技術使用的機會。除了確保2nm晶片製造設備進入韓國,三星更看重的是與ASML建立的合作夥伴關系,以便更好地利用下一代光刻設備。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵,同時每小時能生產超過200片晶圓。此前英特爾已宣布購買業界首個TWINSCAN EXE:5200系統,計劃從2025年使用High-NA EUV進行生產。 據了解,ASML計劃明年生產10台High-NA EUV系統,其中英特爾已購入了6台。有消息稱,台積電計劃在2024年引入High-NA EUV系統,為2025年末2nm工藝進入大批量生產做好准備。ASML打算未來幾年內,將High-NA EUV系統的年產量提升至20台。 ...

下一代CFET電晶體密度翻倍:英特爾、台積電和三星展示各自方案

在上周的IEEE IEDM會議上,英特爾、台積電(TSMC)和三星展示了各自的CFET電晶體方案。堆疊式CFET架構電晶體是將n和p兩種MOS器件相互堆疊在一起,未來將取代GAA(Gate-All-Round),成為新一代電晶體設計,以實現密度翻倍。 英特爾是首個展示CFET方案的晶圓代工廠,早在2020年就公開了首個早期版本。這次英特爾介紹了CFET製造的最簡單電路之一,即反相器的幾項改進。CMOS反相器將相同的輸入電壓發送到堆棧中兩個設備的柵,並產生一個邏輯上與輸入相反的輸出,而且反相器在一個鰭上完成。英特爾同時還將電晶體使用的納米片數量從2個增加到3個,垂直間隙也從50nm減小到30nm。 目前5nm製程節點的柵極間距為50nm,不過這是使用單側互連的簡單FinFET。三星展示的CFET方案里,柵極間距為45/48nm,比起英特爾的60nm要更小。盡管三星的CFET原型里45nm柵極間距版本性能有所下降,但研究人員認為通過對製造過程的優化可以解決這個問題。三星成功之處是能夠電氣隔離堆疊的n和p兩種MOS器件的源和漏,關鍵步驟是使用一種涉及濕化學品的新型干刻蝕來替代濕法刻蝕。另外與英特爾單個電晶體使用3個納米片不同,三星是成對電晶體使用單個納米片。 台積電與三星一樣,設法將柵極間距控制在48nm,其CFET方案的特點包括一種在頂部和底部電晶體之間形成介電層的新方法,以保持間距。納米片通常由矽和矽鍺的交替層形成,台積電嘗試使用矽鍺專用刻蝕方法,在釋放矽納米線之前於兩個電晶體之間構建隔離層。 據了解,CFET技術轉化為商業大規模使用大概還需要7到10年的時間,在此之前仍然有許多前期准備工作要完成。 ...

三星發布兩款全新ISOCELL Vizion傳感器:專為機器人和XR應用量身定製

三星宣布,推出兩款全新ISOCELL Vizion傳感器,分別是ToF傳感器ISOCELL Vizion 63D和全局快門傳感器ISOCELL Vizion 931,目前正在向全球OEM廠商提供樣品。三星在2020年首次發布ISOCELL Vizion系列傳感器,覆蓋ToF傳感器和全局快門傳感器,專門設計用於廣泛的下一代移動、商業和工業用例,以提供視覺功能。 與蝙蝠利用回聲定位在黑暗中導航類似,ToF傳感器通過計算發射光到達和離開物體所需的時間來測量距離和深度。ISOCELL Vizion 63D專為捕捉高解析度3D圖像與特殊的細節而設計,屬於間接ToF傳感器,可以測量發射光和反射光之間的相移,以三維方式感知周圍環境,服務於工業機器人以及XR設備,也是實現安全的面部生物識別的理想選擇。 ISOCELL Vizion 63D能以QVGA(320 x 240)30fps的速度捕獲圖像,1/6.4 英寸傳感器具有3.5μm像素,可用於拍攝VGA(640 x 480)解析度的視頻。同時ISOCELL Vizion 63D是業內首款集成深度傳感硬體ISP(圖像信號處理器)的傳感器,利用增強光吸收的後向散射技術(BST),實現了業界最高水平的量子效率,從而以最小的運動模糊產生更清晰的圖像質量。 ISOCELL Vizion 931可以在不變形的情況下,類似於人眼看到場景的方式,拍攝快速移動的物體,非常適合用於XR設備、遊戲系統、服務和物流機器人、以及無人機的運動跟蹤。其採用VGA(640 x 480)解析度,有著業界最高水平的量子效率,可用在XR頭戴式設備中的虹膜識別、眼動追蹤以及面部和手勢檢測。 除了利用增強光吸收的後向散射技術外,ISOCELL Vizion 931還採用了前深溝隔離技術(FDTI),在像素之間設置了一個絕緣層,以最大限度地吸收光線。此外,ISOCELL...

三星新款G95C顯示器上架:49英寸曲面屏,雙2K@240Hz,售價8999元

近日,三星推出了新款玄龍騎士G95C遊戲顯示器。目前新產品已經在電商平台上架,顯示價格為8999元。 G95C遊戲顯示器,京東地址:點此前往>>> G95C遊戲顯示器採用了「影刃」設計語言,帶有磨砂質感黑色背板,「日蝕」燈環突出了簡約潮流的電競氛圍。其採用了49英寸的曲面螢幕,為VA面板,曲率為1000R,10bit色深,顯示比例為32:9,約等於兩台27英寸顯示屏橫向拼接,解析度為雙2K(5120 x 1440),刷新率為240Hz,響應時間(GtG)為1ms,峰值亮度為1000尼特,DCI-P3色域為92%,HDR10+Gaming成像支持,AMD FreeSync Premium Pro同步技術可減少畫面撕裂,並得到了VESA DisplayHDR 1000認證和德國萊茵TUV護眼認證。 該款顯示器支持PBP/PIP分屏功能,雙信號源輸入後可通過系統中開啟分屏或畫中畫功能,用戶可通過一個螢幕進行更便捷的多任務處理。用戶辦公時可通過系統菜單開啟「自適應圖像」功能,先進的環境光傳感器可根據環境實時調整螢幕亮度及色溫,無需用戶手動調整。 三星為G95C遊戲顯示器配備了兩個HDMI 2.1接口和一個DisplayPort 1.4接口,同時還帶有USB集線器功能,提供了兩個USB 3.0接口。顯示器採用了免螺絲的便捷安裝設計,搭配了自由調整的超薄升降底座,節省桌面空間的同時,又能有效保護頸椎。 ...

三星正式發布Galaxy Book 4系列筆電:酷睿Ultra+自研安全晶片,明年1月上市

三星宣布,正式推出Galaxy Book 4系列筆記本電腦,將會在明年1月上市。三星表示,新產品搭載了全新的智能處理器,帶有更生動、更具交互性的顯示屏和強大的安全系統,並結合了超便攜設計、更高的性能和無限的連接性,重塑了PC體驗,開啟了AI PC的新時代。 Galaxy Book 4系列共有四款產品,分別為Galaxy Book 4 Pro(14/16英寸螢幕)、Book 4 Pro 360和Galaxy Book 4 Ultra。值得一提的是,這次三星首次搭載了其自研的Knox安全晶片,以提供更為強大的安全性能。 ...

三星Exynos 2400或受益於FoWLP封裝,擁有更好的功耗和散熱管理

今年10月,三星推出了新一代移動處理器Exynos 2400。三星在Exynos 2200基礎上進行了多項改進,其中CPU性能提升了70%,人工智慧(AI)工作負載加速更是提升了驚人的14.7倍,同時GPU還引入了RDNA 3架構,提供了改進的遊戲和光線追蹤性能。 不過在發布時,三星並沒有透露具體的細節信息,比如SoC採用的工藝和封裝。近日有網友透露,Exynos 2400在設計上擁有多項優勢,包括:與AMD共同設計的GPU,有超越蘋果A17 Pro的圖形性能;採用4nm LPP+工藝製造,三星積累的工藝經驗發揮了作用,帶來了更高的良品率和性能表現;首次應用扇出型晶圓級封裝(FoWLP)封裝,與傳統封裝相比,占用更少的封裝面積,在不增加晶片尺寸的情況下具有更多的觸點數量,晶片也可以做得更薄,並改善了散熱表現。 根據之前流出的基準測試成績,Exynos 2400的Xclipse 940 GPU在性能方面確實有較大的提升,高於第二代驍龍8,略低於第三代驍龍8。當然,大家更為關心的是功耗和散熱表現,這也是之前Exynos 2200被詬病的主要地方之一。 Exynos 2400的CPU部分為1+2+3+4的四叢架構,包括1個超大核([email protected])、2個高頻大核([email protected])、3個低頻大核([email protected])和4個小核([email protected]),總共配置有10個核心。按照三星的說法,性能比起Exynos 2200提升了70%,不知道是否能趕上高通的步伐。 ...

三星宣布量產31.5英寸UHD解析度QD-OLED屏,明年還有27英寸QHD@360Hz產品

三星宣布,從本月開始全面啟動31.5英寸UHD(3840 x 2160)解析度QD-OLED螢幕的量產工作。 據三星的介紹,其利用先進的超精密噴墨列印技術應用到QD(量子點)發光層,通過優化噴墨嘴和噴射嘴,成功地打造了140PPI高密度超高清(UHD)顯示屏產品,實現了「自發光顯示器中最高級別的像素密度和UHD解析度」,這相當於目前市面上65英寸8K電視的像素密度。 由於顯示器的觀看距離比一般電視更近,因此用戶對畫質的敏感度會更高,而且市場上現階段30英寸以內的OLED螢幕普遍為QHD(2560 x 1440)解析度,而部分喜歡遊戲和高清視內容的消費者對UHD產品情有獨鍾,相信新款QD-OLED螢幕能夠滿足他們的需求。 此外,三星還計劃明年帶來業界最高360Hz刷新率的27英寸QHD(2560 x 1440)解析度QD-OLED螢幕,遠高於現有的240Hz同類產品。三星憑借其獨有的基於人工智慧的驅動算法「量子增強器」,實現刷新率的革命性提升,同時響應時間控制在0.03ms,為FPS玩家帶來不凡的遊戲體驗。 三星表示,計劃通過27英寸、31.5英寸、34英寸和49英寸等多種產品線,開發新客戶,積極拓展高端顯示器市場,力求將QD-OLED螢幕的出貨量擴大至去年同期的兩倍以上。 ...

三星為Galaxy S24系列制定出貨目標:明年底銷量超過3500萬台

三星今年推出的Galaxy S23系列獲得了不錯的評價,雖然與上一代有著不少相似的設計,但內部帶來了實質性的升級,包括搭載高通定製的第二代驍龍8平台,有著高效的性能表現。其中Galaxy S23 Ultra已經連續數個季度排在了全球最暢銷智慧型手機榜單的前列,而整個Galaxy S23系列的總銷量已超過了2500萬台。 據The Elec報導,三星已經為Galaxy S24系列制定銷售目標,明年底將出貨超過3520萬台。其中Galaxy S24為1350萬台,Galaxy S24+為580萬台,Galaxy S24 Ultra將超過其他兩款機型,達到了1590萬台。 今年Galaxy S24系列毫無疑問會搭載高通定製的第三代驍龍8平台,同時三星也會在部分地區銷售的Galaxy S24和Galaxy S24+上搭載自家的Exynos 2400平台。傳聞Galaxy S24 Ultra帶有鈦金屬中框,配備了2億像素的主攝像頭、1200萬像素的超廣角鏡頭、1000萬像素長焦鏡頭以及5000萬像素的潛望式長焦鏡頭,內置5000mAh電池,並支持45W快速充電。 此前有消息稱,三星計劃在2024年1月17日於美國加利福利亞州聖何塞舉辦Galaxy S24系列的發布會,客戶可以在韓國首爾時間1月19日開始預訂Galaxy S24系列,首批設備會在1月26日至1月30日發送。 ...

三星正在重組整個面板開發團隊,以應對未來蘋果的可折疊產品

此前有報導稱,蘋果計劃推出一系列全新的可折疊設備,可折疊的iPhone、Macbook和iPad都是目標,為此還與供應商討論產品線可行性,態度可以說十分積極。 據The Elec報導,三星正在重組整個面板開發團隊,以提高運營效率,應對未來蘋果的可折疊產品,預計出貨量將達到數百萬的級別。對三星來說,這是一個很好的機會,盡可能爭取最大的訂單量來增加收入。此外,LG也在與蘋果合作,不過具體的研發進度暫時還不清楚。 蘋果最終還是會深入到可折疊產品市場,而作為面板供應商的三星和LG,將幫助蘋果實現其產品目標。傳聞三星和LG都在開發適用於蘋果可折疊產品的20.25英寸螢幕,而且已經在為一款搭載該款面板的未知設備做測試,但不清楚到底屬於iPad還是Macbook。 有點意外的是,竟然沒有關於可折疊iPhone的內容,這是能為蘋果創造最大收入的來源,而且市面上可折疊智慧型手機的型號和銷售量都在不斷提升,經過了數年的發展,所使用的面板技術已經相對比較成熟了,理論上應該更接近於蘋果的要求。過去也曾傳出有關可折疊iPhone的信息,但近兩年似乎沒有新的消息了。 有業內人士稱,蘋果首款可折疊產品更有可能是iPad,傳聞蘋果已經在加速該項目,最快會在2024年底出現。 ...