Home Tags 阿斯麥

Tag: 阿斯麥

ASML公布最新一代EUV光刻機3600D 生產效率增加18%

7nm高端DUV光刻機仍可出口 ASML:年底前全力向中國客戶交付訂單

快科技9月1日消息,ASML方面已經表示,將在年底前向中國客戶交付部分先進晶片製造裝備。 據美國媒體報導稱,ASML已經獲得荷蘭官方的允許,在今年年底前向中國客戶出口其部分先進工具。 按照ASML的說法,在2023年的剩餘時間里,ASML將能繼續出貨其NXT:2000i和更先進的DUV型號的產品,這些產品從9月1日起就受到限制。 至於2024年是不是可以繼續,ASML則表示暫時不能確定。 據ASML官網提供的信息,該公司目前在售的主流浸沒式DUV光刻機產品共有三款,分別是:TWINSCAN NXT:1980Di、TWINSCAN NXT:2000i、TWINSCAN NXT:2050i。 ASML官網上關於這一台TWINSCAN NXT:1980Di的介紹,其中在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光的解析度,事實上光刻機是可以進行多次曝光的。 來源:快科技

ASML公布2023Q2財報:DUV光刻設備訂單量激增

ASML(阿斯麥)公布了2023年第二季度財報,顯示該季度中淨銷售額為69億歐元(約合人民幣555.73億元),毛利率為51.3%,淨利潤為19億歐元(約合人民幣153.03億元)。 在2023年第二季度,淨預定量為45億(約合人民幣362.44億元)歐元,其中16億歐元(約合人民幣128.87億元)自於EUV系統。在該季度里,ASML根據現行的2022-2025年股票回購計劃購買了價值約5億歐元的股票。此外,中期股息為每股普通股1.45歐元(約合人民幣11.68元),將於2023年8月10日支付。 ASML執行長Peter Wennick表示,2023年第二季度的淨銷售額處於預期范圍內相對高的位置,同時毛利率也高於預期,主要原因是該季度內有額外的DUV系統收入。由於宏觀經濟環境充滿持續的不確定性,不同細分市場的客戶更加謹慎,普遍預計市場將在今年晚些時候復蘇。目前ASML仍有較多的訂單積壓,總金額約為380億歐元。 ASML預計2023年第三季度的淨銷售額在65億歐元到70億歐元之間,毛利率約為50%,研發成本約為10億歐元,SG&A成本約為2.85億歐元。Peter Wennick稱,由於DUV系統收入強勁,盡管面臨較多不確定性,但ASML預計2023年的業績仍能實現強勁增長,淨銷售額將增長30%,毛利率將相對於2022年會略有改善。 ...

砍單40%?ASML光刻機根本不愁賣 3000億元訂單在排隊

日前有傳聞稱,台積電砍掉了大約40%的EVU光刻機訂單,讓人不免為ASML捏一把汗,但是從最新公布的財報看,ASML依然賺錢賺到手軟。 2023年第一季度,ASML取得淨收入67.46億歐元(約合人民幣509億元),環比增長4.9%,同比增長91%;淨利潤19.56億歐元(約合人民幣148億元),環比增長7.6%,同比增長183%。 以上數據均好於市場預期,官方稱主要是因為光刻機安裝速度加快、驗收時間提前,EUV、DUV光刻機的銷售額都超出預期。 當季淨預訂銷售額為38億歐元,其中16億歐元(約合人民幣120.7億元)來自EUV外光刻機。 當季,ASML賣出全新光刻機96套,環比增加1套;二手光刻機4套,環比減少7套。 ASML透露,目前手中還有價值超過389億歐元(約合人民幣2940億元)的訂單等待交付,市場需求大大超出產能。 有說法稱,ASML光刻機2023年的供應缺口高達30%,2024年會擴大到50%,而且交付周期超過18個月,也就是現在下單至少一年半之後才能拿到貨。 ASML預計第二季度收入65-70億歐元,毛利率50-51%;2023年全年收入有望增長超過25%,毛利率也會略有增長。 ASML預計2023年全年交付DUV光刻機約375套、EUV光刻機約60套,其中EUV光刻機業務預計增長40%,非EUV業務增長30%,而在DUV光刻機中,大約25%是浸沒式設備。 PS:對於台積電砍單40%的傳聞,ASML有關人士回應稱,台積電的確有調整新增產能的計劃,但絕非砍單,而只是延期,只是時間變化,並不影響訂單量,長期總體需求並沒有變化。 來源:快科技

台積電砍單4成 ASML押寶中國市場:支持7nm高端DUV光刻機可出口

快科技4月18日消息,據台系設備廠商透露,ASML近期由於客戶大砍資本支出、縮減訂單,最重要是大客戶台積電也大砍逾4成EUV設備訂單及延後拉貨時間,2024全年業績將明顯承壓。 受此消息影響,ASML股價出現了下跌,而對於接下來的發展,其也將會保證中國市場的訂單。 ASML預計2023年在中國的銷售額將保持在22億歐元左右(約合人民幣超162億元),其正在加快拓展在中國的業務和銷售。 在這之前,ASML強調,新的出口管制措施並不針對所有浸潤式光刻系統,而只涉及所謂“最先進”的浸潤式光刻系統。截至目前企業尚未收到有關“最先進”的確切定義的信息,公司將其解讀為在資本市場日會議上定義的“關鍵的浸潤式光刻系統”,即TWINSCANNXT:2000i及後續推出的浸潤式光刻系統。 所謂浸沒式光刻機,屬於193nm(光源)光刻機(分為乾式和浸沒式),可以被用於16nm至7nm先進位程晶片的製造,但是目前也有被業界廣泛應用在45nm及以下的成熟製程當中。 ASML公司官網信息顯示,該公司主流的DUV光刻機產品共有三款設備:TWINSCAN NXT:1980Di,TWINSCAN NXT:2000i和TWINSCAN NXT:2050i,其中2000i和2050i兩款是公司在聲明所指的產品。 ASML官網上關於這一台TWINSCAN NXT:1980Di的介紹,其中在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光的解析度,事實上光刻機是可以進行多次曝光的。 理論上NXT:1980Di依然可以達到7nm,只是步驟更為復雜,成本更高,良率可能也會有損失,晶圓廠用這一台光刻機,大多是生產14nm及以上工藝的晶片,很少去生產14nm以下的工藝,因為良率低,成本高,沒什麼競爭力。 來源:快科技

ASML被砍單40% 高貴光刻機賣不動 中芯國際逆勢擴產

4月17日消息,自去年下半年以來,半導體市場需求持續下滑,今年一季度復蘇也不及預期,各大存儲晶片廠商紛紛減產及削減資本支出,近期晶圓代工龍頭廠商台積電也傳出擴產放緩及削減資本支出的消息,這也直接影響到了對於上游半導體設備的需求。 據MoneyDJ報導,最新的傳聞顯示,受台積電縮減資本支出影響,荷蘭光刻機大廠ASML也遭遇了大幅砍單,其2024年的訂單同比恐遭削減逾40%。 雖然該報導並未明確是台積電對ASML 2024年設備的采購金額縮減了40%,還是ASML 2024年整體的訂單被砍了40%,但從整體報導來看,似乎指的是前者。 另有報導稱,是台積電對於ASML的2024年的EUV光刻機的采購量砍去(或延後)了40%。 對此傳聞,業界觀點不一。不過,可以肯定是,ASML的 EUV 產能目前仍嚴重不足,一直處於供不應求當中,頭部的晶圓大廠都在排隊等交貨,台積電不會一下子砍去了40%的EUV光刻機訂單。 當然,受全球半導體景氣度下滑影響,晶圓大廠紛紛削減資本支出,疊加荷蘭對於ASML設備出口管制的影響,ASML的訂單整體上確實會受到一定的影響,但整體上應該也不會造成其40%訂單被砍,這樣的比例似乎是有些夸張。 存儲晶圓廠紛紛縮減資本支出:SK海力士砍了50%,美光砍了40% 根據國際半導體產業協會(SEMI)公布的最新“全球半導體設備市場報告”顯示,2022年全球半導體製造設備銷售金額達1,076億美元,較2021年1,026億美元成長5%,再創歷史新高。 但是2023年半導體設備市場卻並不樂觀,SEMI認為,受晶片需求減與庫存較高拖累,2023年半導體設備市場將同比下滑22%。 資料顯示,自去年以來,受消費電子市場持續疲軟影響,存儲晶片市場也持續處於供應過剩、價格持續下跌的狀態。 根據CFM快閃記憶體市場數據顯示,2022年NAND Flash市場綜合價格指數下跌41%,DRAM市場綜合價格指數下跌35%。在此背景之下,存儲晶圓廠率先開啟了減產、削減資本支出模式。 為應對存儲市場的下滑,早在2022年9月底,日本NAND Flash大廠鎧俠就率先宣布,從2022年10月開始,將其日本四日市和北上NANA Flash晶圓廠的生產量減少約30%; 隨後,美光也宣布將其DRAM 和 NAND 晶圓產量減少約20%(與截至9月1日的2022 財年第四季度相比),並且美光在今年年初還宣布將其2023財年資本支出擴大縮減至40%,將至70-75億美元; SK海力士由於存儲業務業績的下滑,宣布將2023年的資本支出削減50%; 三星在今年1月底就宣布了將2023年的半導體設備投資 (CAPEX) 同比減少18%至約32萬億韓元,由於一季度半導體市場恢復不及預期,三星也開始加入了對存儲晶片減產的行列,此舉或將導致三星加大對於半導體設備投資的削減規模。 邏輯晶圓廠也將削減資本支出,台積電或砍12% 除了存儲晶片廠商紛紛削減資本支出之外,頭部的晶圓代工大廠也即將開始削減資本支出規模。 據台灣媒體報導,受全球半導體復蘇不及預期的影響,晶圓代工龍頭大廠台積電高雄、南科、中科與竹科都傳出擴產計劃放緩、產能重新調配的消息。 其中,台積電高雄廠更是被傳出“計劃采購的用於28nm製程生產的機台清單全數取消”。 台積電的財報也顯示,其3月營收環比下滑了10.9%,同比也下滑了15.4%。一季度的營收雖然保持了3.6%的增長,但是低於台積電此前給出介於167億到175億美元的指引下限。 摩根史坦利日前還下調了台積電第二季度的營收預期,認為台積電二季度營收將環比下滑5~9%,此前預期是環比下滑4%。 另外,因為手機等終端市場需求持續低迷,美系外資也看淡台積電5nm及7nm接單狀況,並將其下半年5nm產能利用率的預估值,由此前預期的90%至92%,大幅調降至75%;7nm方面,今年上半年的產能利用率將為45%至50%、下半年產能利用率僅55%。 這些變化恐怕也是導致台積電放緩擴產步伐,並順勢調降資本支出的原因。 台積電在今年1月的法說會上預期,今年資本支出約320億美元至360億美元,低於2022年的363億美元,為近八年來首次年度資本支出呈現下滑態勢。台積電當時強調,公司持續投入研發,估計今年研發費用將約增加20%。 但據業界人士透露,扣除台積電海外廠區專案投資,綜合近期客戶群需求變化、庫存調整比預期劇烈,以及總經狀況惡化等因素干擾下,台積電或將今年資本支出調降至280億美元至320億美元。保守情況恐將下探至280億美元,減幅超過12%,將退回至2021年的水準。 除了台積電之外,聯電此前也表示,在 2023 年全球經濟疲軟情況下,客戶的庫存天數高於正常水準,訂單能見度偏低,聯電預計第一季將充滿多重挑戰。 為應對當前的景氣低迷,聯電已進行嚴格的成本控管措施,並盡可能推遲部份資本支出。 受PC市場需求大幅下滑,英特爾的業績也遭遇了大跌。 為此,英特爾在公布2022年第三季度財報時,宣布了成本削減及銷率提升計劃,預計到2025年最多削減100億美元的運營成本。 不僅暫停以色列和美國俄勒岡州希爾斯伯勒研發中心、停止網絡和邊緣業務(NEX)投資、拆分加速計算和圖形部門(AXG)、計劃將與筆記本電腦業務相關的5G基帶技術出售,還放緩了美國俄亥俄州晶圓廠的建設,推遲了德國晶圓廠的興建計劃。 此舉也意味著,英特爾對於半導體設備的投資也將同步放緩。 由於半導體市場需求下滑,去年12月,晶圓代工大廠格芯也宣布了全球將裁員800人的計劃,同時格芯也正在制定控製成本計劃,希望每年降低2億美元運營費用。 雖然格芯並未透露是否會下調今年的資本支出,但很可能會跟隨台積電等頭部廠商的腳步。 中芯國際逆勢擴產 值得一提的是,台積電等晶圓大廠在2022年縮減資本支持,並紛紛削減2023年資本支出的同時,中芯國際卻在持續擴大資本支出,希望通過逆周期投資,擴大市場份額。 比如,台積電2022年原本的資本支出規劃是400億美元,但最終實際的支出縮減到了360億美元;聯電2022年的資本支出計劃是36億美元,最終落實的也只有30億美元;格芯2022年資本支出原計劃是40億美元,之後也下修到了30億美元-33億美元。 相比之下,中芯國際的資本支出卻在持續增長。2022年中芯國際規劃的資本支出金額約為50億美元,但最終的實際支出達到了約66億美元(以人民幣計約為432億元)。 財報顯示,2022年底,中芯國際折合8英寸月產能達到71.4萬片, 全年產能利用率為 92%。 截至2022 年底,中芯深圳進入投產階段,中芯京城進入 試生產階段,中芯臨港完成主體結構封頂,中芯西青開始土建。中芯京城因瓶頸設備交付延遲,量產時間預計推遲一到兩個季度。 需要指出的是,由於2022年半導體市場需求下滑,中芯國際的庫存量也達到了516,724片約當8吋晶圓,相比2021年大幅增長了395.1%。 對此,中芯國際表示,庫存量比上年增長的原因主要是生產備貨。 即便如此,對於2023年的資本支出,中芯國際並未削減,預計將與2022年大致持平。 中芯國際在財報中也表示,從宏觀情況來看,全球經濟增長乏力,局部地緣衝突帶來的能源危機疊加貨幣波動等因素的影響,導致全球消費動力不足。 從集成電路產業情況來看,市場的供需緊張態勢在2022年上半年逐步得到結構性緩解,並在2022年下半年急速進入去庫存階段。 結合當前宏觀經濟的走勢和去庫存的節奏,公司還未看到行業有復蘇的跡象,由於這一次周期疊加多重復雜的外部因素,調整持續時間可能更長。 公司的發展在行業景氣的時候離不開乘勢而為,在行業困難的時候更離不開堅持和耐心,我們對於公司中長期的發展依然充滿信心。 小結: 美國去年10月出台對華半導體出口限制政策,以及荷蘭政府跟隨美國新規對於ASML部分浸沒式光刻機對華出口管制,在一定程度了造成了中國市場對於ASML光刻機需求的下滑。 疊加頭部存儲及邏輯晶圓大廠紛紛大幅削減2023年資本支出,確實會對於ASML設備訂單造成負面影響。但影響的幅度應該不會太大。 需要指出的是,由於ASML的設備交期相對較長,所以頭部的晶圓大廠其實大都在去年就已經完成了對於今年所需的相關ASML設備的下單,因此,頭部的晶圓製造大廠削減今年的資本支出,以及推遲擴產計劃,實際上主要影響到的是ASML 2024年的訂單。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

10億一台的EUV光刻機也賣不動了 台積電40%訂單被砍:苦日子還有三年

快科技4月17日消息,隨著PC、手機等行業需求下滑,半導體行業自從2022年下半年開始牛熊周期轉換,台積電Q1季度業務業績罕見低於預期,現在ASML的EUV光刻機也賣不動了,台積電被曝砍單40%訂單。 EUV光刻機是7nm以下工藝必不可少的核心設備,全球只有荷蘭ASML公司能夠生產,售價高達10億,下一代EUV光刻機會更會漲價到25億以上,但是隨著這一輪熊市的調整,台積電、三星等公司搶購EUV的熱度已經下去了。 來自供應鏈的消息稱,ASML這幾年最大的客戶台積電要大砍2024年的EUV光刻機訂單,多達40%的光刻機會取消或者延期交付,不過台積電表示對市場傳聞不予評論。 台積電強調未來一年的晶圓廠建設以美日為主,本土的先進工藝擴產全面放緩,砍單效應會在今年下半年啟動,進入驗收階段的設備還會按照計劃進行,還沒有執行的訂單及半成品則會取消或者延期交付。 此前台積電表示下半年半導體市場會反彈,甚至台積電還在積極推動新一輪漲價,然而2023下半年的半導體市場恐怕依然不如預期,砍單效應會在2024年持續發生。 這一輪調整過程要持續兩三年,預計台積電等客戶重啟擴產計劃要等到2026年,ASML等半導體設備廠商才有可能恢復增長。 來源:快科技

EUV設備熱度降低,傳ASML首度遭遇砍單

如果有關心半導體工藝方面的信息,相信對EUV(極紫外光)並不會感到陌生,如果要推進到7nm或更先進的工藝製程,可以說ASML(阿斯麥)的EUV設備是必不可少的工具。在過去幾年里,EUV設備成為了眾多半導體製造商爭搶的對象,一直處於供不應求的狀態,為此ASML還提高了產量。 根據ASML去年公布的計劃,2025年至2026年的年產能將提高到90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。 此前有報導稱,由於半導體行情反轉、存儲器產業陷入困境、以及政策限制等影響,近期台積電(TSMC)已減緩其產品擴張計劃,使得全球半導體設備材料供應鏈如坐針氈。據Digitimes報導,前十大設備廠中,已有多家對2024年的業績展望趨於保守,目前已提前開始進行削減成本的計劃。 有些讓人意想不到的是,一直產能跟不上訂單節奏的ASML也開始受到了影響,其最大的客戶台積電開始砍掉部分EUV設備的訂單,有傳言稱比例達到40%,並將出貨時間延後,這也讓ASML明年的營收承受了更大的壓力。預計2023年下半年起,全球半導體設備銷售所受到的影響會逐漸浮現,大機率會低於預期,客戶減單效應將於2024年逐步顯現。 過去台積電、三星和英特爾等企業瘋狂爭搶EUV設備的熱度已經降溫,為縮小先進位程差距而不斷燒錢的三星和英特爾估計也會跟進台積電的做法。從長遠來看,ASML依然獨占EUV設備市場,最遲會在2026年半導體市況全面復蘇後,再恢復增長。 ...

英偉達牽手台積電等合作夥伴:將AI技術導入2nm工藝,讓計算光刻加速40倍

英偉達在GTC 2023上宣布,將與台積電(TSMC)、阿斯麥(ASML)和新思科技(Synopsys)三大半導體行業巨頭合作,將加速運算技術引入到計算光刻領域,加速下一代晶片的設計和製造,並推出名為「cuLitho」的計算光刻庫。 計算光刻主要通過軟體對整個光刻過程進行建模和仿真,使用光掩模文件的數學預處理來調整光學光刻中的像差和效果,以優化光源形狀和光罩形狀,減小光刻成像與晶片設計差距,從而使光刻效果達到預期狀態,從而提高良品率。不過隨著晶片的製造工藝向3nm及以下發展,每個光罩的負擔呈指數級增長,使得晶片製造的難度加大。 目前計算光刻的過程也成為了晶片設計和製造領域中最大的計算負擔,大型數據中心需要7x24連續運作,每年消耗數百億CPU小時,去創建用於光刻系統的光罩,每年需要的資本支出和能源消耗量也十分地驚人。為此英偉達聯合台積電、阿斯麥和新思科技,歷時四年終於完成了計算光刻技術的一項重大突破,推出了cuLitho計算光刻庫,為下一代2nm工藝奠定了基礎。 英偉達表示,通過GPU而不是CPU運算,可以將計算光刻的效率提高40倍。利用cuLitho計算光刻庫,可以將工作負載轉換成GPU並行處理,使得500個NVIDIA DGX H100就能完成40000個CPU組成的系統所完成的工作。同時也可以大大減輕晶圓廠的負擔,每天僅需要原來九分之一的功耗就能生產之前三到五倍的光罩,原來需要兩周時間生產的光罩現在一夜之間就能進行處理。 從長遠來看,在AI技術的協助下,cuLitho計算光刻庫可以實現更好的設計規則、更高的密度和更高的產量。 ...

ASML/台積電2nm確定用 NVIDIA帶來晶片光刻技術大飛躍:提速40倍

今晚(3月21日),NVIDIA春季GTC技術大會召開。作為NVIDIA炫技的主要舞台,新東西可謂眼花繚亂。 其中值得關注的一項是,NVIDIA宣布推出cuLitho軟體加速庫,可以將計算光刻的用時提速40倍。 所謂計算光刻就是為晶片生產製作光掩模的技術,掩膜是一種平面透明或半透明的光學元件,上面有晶片加工所需的圖案,按照是否需要曝光將圖案轉移到光刻膠層上。光刻加工過程開始後,通過控制光刻機的曝光和開關操作,可以將光束根據掩膜上的圖案進行分割和定位,使得光束只照射到需要曝光的區域,從而將晶片上的圖案轉移到光刻膠層上,實施晶片光刻。 因為每種晶片都要經歷多次曝光,所以光刻中使用的掩膜數量不盡相同。NVIDIA H100(台積電4N工藝,800億電晶體)需要89張掩膜,Intel的14nm CPU需要50多張掩膜。 此前“精雕細琢”的計算光刻依賴CPU伺服器集群,現在NVIDIA表示,500套DGX H100(包含4000顆Hopper GPU)可完成與4萬顆CPU運算伺服器相同的工作量,但速度快40倍,功耗低9倍。 這意味著,GPU加速後,生產光掩模的計算光刻工作用時可以從幾周減少到八小時。 黃仁勛透露,NVIDIA已經和ASML(荷蘭阿斯麥)、台積電以及新思科技簽署技術合作,新思甚至已經將該技術集成到其EDA工具中,將服務2nm甚至更高精度的製程。 NVIDIA認為,新技術可以實現更高的晶片密度和產量,按照更好的設計規則以及藉助人工智慧驅動光刻行業前進。 按照NVIDIA預估,未來幾年高NA EUV光刻機應用後,掩膜製作的計算數據量將提升10倍以上,目前cuLitho軟體加速庫已經支持高NA EUV光刻可能用到的曲線掩膜、亞原子光致抗蝕劑掩膜等製造。 來源:快科技

支持7nm 高端DUV光刻機可出口後 ASML加快訂單處理

ASML上周已經聲明,高端DUV光刻機可以出口,而這也會讓他們加快處理相應的訂單。 所謂浸沒式光刻機,屬於193nm(光源)光刻機(分為乾式和浸沒式),可以被用於16nm至7nm先進位程晶片的製造,但是目前也有被業界廣泛應用在45nm及以下的成熟製程當中。 ASML公司官網信息顯示,該公司主流的DUV光刻機產品共有三款設備:TWINSCAN NXT:1980Di,TWINSCAN NXT:2000i和TWINSCAN NXT:2050i,其中2000i和2050i兩款是公司在聲明所指的產品。 理論上NXT:1980Di依然可以達到7nm,只是步驟更為復雜,成本更高,良率可能也會有損失,晶圓廠用這一台光刻機,大多是生產14nm及以上工藝的晶片,很少去生產14nm以下的工藝,因為良率低,成本高,沒什麼競爭力。 之前,該公司2022年其淨銷售額為212億歐元,毛利率為50.5%,2022年底未交付訂單創下歷史新高,達404億歐元。當年總共銷售317台新光刻機,較前一年增長31台,此外還出貨了28台二手光刻機。 對於今年的表現,ASML表示,將會加快對一些訂單的處理,特別是高端DUV光刻機系列,以此來保證公司業績強勁增長。 來源:快科技

生機 支持7nm的高端DUV光刻機可以出口:ASML今年要在中國銷售162億元

本周,ASML在最新的聲明中指出,這些新的出口管制措施側重於先進的晶片製造技術,包括最先進的沉積設備和浸潤式光刻系統。 ASML強調,新的出口管制措施並不針對所有浸潤式光刻系統,而只涉及所謂“最先進”的浸潤式光刻系統。截至目前企業尚未收到有關“最先進”的確切定義的信息,公司將其解讀為在資本市場日會議上定義的“關鍵的浸潤式光刻系統”,即TWINSCANNXT:2000i及後續推出的浸潤式光刻系統。 所謂浸沒式光刻機,屬於193nm(光源)光刻機(分為乾式和浸沒式),可以被用於16nm至7nm先進位程晶片的製造,但是目前也有被業界廣泛應用在45nm及以下的成熟製程當中。 ASML公司官網信息顯示,該公司主流的DUV光刻機產品共有三款設備:TWINSCAN NXT:1980Di,TWINSCAN NXT:2000i和TWINSCAN NXT:2050i,其中2000i和2050i兩款是公司在聲明所指的產品。 ASML官網上關於這一台TWINSCAN NXT:1980Di的介紹,其中在解析度方面,寫到是大於等於38nm(可以支持到7nm左右),而這是指一次曝光的解析度,事實上光刻機是可以進行多次曝光的。 理論上NXT:1980Di依然可以達到7nm,只是步驟更為復雜,成本更高,良率可能也會有損失,晶圓廠用這一台光刻機,大多是生產14nm及以上工藝的晶片,很少去生產14nm以下的工藝,因為良率低,成本高,沒什麼競爭力。 ASML指出,先進程度相對較低的浸潤式光刻系統已能很好滿足成熟製程為主的客戶的需求,並稱該公司A長期展望的基礎是全球長期需求和技術趨勢,而不是對具體地域的預期。自2019年以來,ASML的EUV光刻系統已經受到限制。 ASML預計2023年在中國的銷售額將保持在22億歐元左右(約合人民幣超162億元),其正在加快拓展在中國的業務和銷售。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

全球只有5家客戶 單價超過10億 ASML今年將出貨60台EUV光刻機

隨著半導體工藝進入到5nm節點以內,對EUV光刻機的需求也不斷增長,目前全球只有ASML一家公司能夠生產EUV光刻機,今年的出貨量還會進一步提升。 當然,EUV這樣的光刻機主要用於先進工藝,所以全球有需求也有能力購買EUV光刻機的晶片製造商也不多,ASML CEO日前在采訪中提到他們在全球有5家EUV光刻機客戶。 雖然沒有提到具體的名單,但是台積電、三星、Intel這三家是沒跑的,他們的邏輯工藝現在都是要用到EUV光刻機的。 還有2家應該是記憶體晶片廠商了,三星這部分已經在14nm DRAM記憶體上使用EUV光刻了,SK海力士也跟進了,美光之前的表態相對保守一些,但遲早也會上EUV光刻機來生產記憶體晶片。 雖然只有5家客戶,但是最近幾年對EUV光刻機的需求提升很快,ASML預計今年會出貨60台EUV光刻機,而DUV光刻機達到375台,數量依然遠高於EUV。 畢竟EUV光刻機售價昂貴,單價在1.5到2億美元,人民幣超過10億元。 來源:快科技

被二戰嚴重摧毀的荷蘭小鎮:如何重生 孕育出光刻機霸主ASML?

極紫外(EUV)光刻機製造商阿斯麥所在的荷蘭小城曾遭受工業衰落打擊。它的轉型故事類似於一家顛覆性的初創企業,但有兩個獨特的荷蘭元素。 美國政府為阻止中國獲得高端半導體技術的努力,在美國以外只關注兩個地方:日本東京和荷蘭埃因霍溫(Eindhoven)。 後者是一座沒什麼高層建築的荷蘭小城,其富有歷史底蘊的市中心在第二次世界大戰期間被摧毀。 埃因霍溫是荷蘭第五大城市,被譽為“全球最聰明的地方”,為歐洲領先的科技中心之一,同時也是全球半導體設備龍頭ASML 總部的所在地,該公司生產世界上最先進的光刻機。 這些機器製造的半導體用於從智慧型手機到飛彈的各類產品。 埃因霍溫的科技行業吸引了多名歐盟專員,他們經常到這里考察,希望了解這座曾在上世紀90年代初遭受工業衰落打擊、二次大戰期間遭嚴重摧毀的城市,是如何轉型為一個區域經濟龍頭,並保持每年8%增長。 當地公司和學術機構每年提交的專利申請數量相當於每10萬名居民申請近500項專利,躋身於世界最高水平之列。荷蘭私營部門四分之一的研發預算(每年30億歐元)在這里支出。 埃因霍溫的開創者,很大一部分來自ASML,以及前飛利浦照明部門Signify、晶片製造商恩智浦(NXP)、卡車製造商DAF。 ASML 技術資深副總裁Jos Benschop 表示,埃因霍溫對公司發展至關重要,因為它擁有長達一個世紀的高科技製造經驗,“我們在全球開展業務,但與人們的距離非常重要”。 這句話耐人尋味,Benschop 繼續解釋:“如果沒有VDL,極紫外(EUV)光刻機就誕生不出來。發明非常容易,但變成可以實際製造的東西很難。” 這里所說的VDL,是一家專注於解決復雜工程挑戰的當地家族企業。 埃因霍溫如何走出一段轉型之旅? 談到埃因霍溫的轉型故事,如同一個具有顛覆力的新創企業。區域發展機構Brainport Development 主任Paul van Nunen 笑稱,就像新創公司一樣,最開始只有一張餐桌、儲藏木屋和特立獨行的發明家。 但過程中添加了兩個更“荷蘭式”的獨特成分,那就是政府的商討模式及飛利浦這家公司。 荷蘭政府將政治家、公司和工會聚集在一起,共同尋找解決方案,而飛利浦1891 年開始在恩荷芬製造燈泡,也扮演著重要角色。 Van Nunen 的辦公室位於飛利浦的前研究園區,能俯瞰著ASML 於1984 年與當地另一家晶片設備製造商ASMI 成立合資企業的院子。 1990 年代初期,飛利浦和DAF 等大型公司面臨亞洲低價競爭,紛紛關閉工廠。埃因霍溫Rein Welschen 便邀請當地公司協會負責人、理工大學和商業領導人到他家,一起想出反擊計劃。 Van Nunen 回憶道,當飛利浦2001 年將總部搬到阿姆斯特丹,公私部門共同合作,重新利用實驗室,並保留了員工。 埃因霍溫的土地也有更多利用,他表示:“當我年輕時,這整個地區都是禁區,只有飛利浦的員工可以進入,但現在是一個合作的地方。” 飛利浦另一個研究基地成為高科技園區,里頭有260...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

EUV光刻機研發難在哪?ASML:數百家頂級供應商 40年時間

在半導體設備中,光刻機是核心設備,決定了工藝的先進程度,EUV是當前最先進的光刻機,可以製造7nm以下的工藝,全球僅有荷蘭ASML公司能夠生產,單價將近10億元,下一代EUV甚至超過25億元。 研發EUV光刻機到底有多難?全球還有別的公司可以製造出來嗎?對於這樣的疑問,ASML公司日前在財報會議上談到了EUV的難點。 ASML表示,就ASML而言,它由數百個供應商組成,每個供應商在什麼方面都是世界級的。 只要提到通快、蔡司和VDSL的名字,他們的工作就是世界級的,這只是上百家供應商中的三個。 研發光刻機需要的不止是專利,它的訣竅是人,是大腦,ASML表示這些花了40年的時間。 物理學規律在全球都是一樣的,但是ASML做到這一步有著數百家公司積累的專業知識,他們作為系統集成商做出了光刻機。 來源:快科技

ASML公布2022Q4和全年財報:表現高於預期,訂單積壓達創紀錄404億歐元

今天,ASML(阿斯麥)公布了2022年第四季度和全年財報。 在2022年第四季度中,淨銷售額為64億歐元(約合人民幣473.77億元),毛利率為51.5%,淨利潤為18億歐元(約合人民幣133.25億元),整體表現高於ASML預期。在2022年第四季度里,淨預定量為63億(約合人民幣466.36億元)歐元,其中34億歐元(約合人民幣251.69億元)自於EUV系統。在該季度里,ASML根據之前完成的股票回購計劃和目前的2022-2025年計劃購買了價值約3億歐元的股票。 ASML在2022年全年淨銷售額為212億歐元(約合人民幣1569.35億元),毛利率為50.5%,淨利潤為56億歐元(約合人民幣414.55億元),同樣比預期要更好一些。截至2022年末,積壓的訂單總量達到了創紀錄的404億歐元(約合人民幣2990.65億元)。2022年總股息為每股普通股5.80歐元,與2021年相比增長了5.5%。 ASML預計,2023年淨銷售額將有超過25%的增長,同時毛利率會改善,研發成本約為9.65億歐元,SG&A費用約為2.85億歐元。同時ASML預計2023年第一季度的淨銷售額在61億歐元到65億歐元之間,毛利率在49%到50%之間。 ASML執行長Peter Wennick表示,會繼續看到通脹、利率上升、經濟衰退風險以及與出口管制相關的地緣政治發展造成的市場不確定性,不過其客戶表示,預計市場將會在2023年下半年反彈。考慮到ASML的訂單交付時間和光刻投資的戰略性質,目前對ASML系統的需求仍然強勁。 ...

荷蘭智庫警告:跟著美國走 歐洲晶片自主危矣

12月8日消息,據彭博社引述不具名知情人士報導稱,荷蘭正在考慮跟進美國對華新規,你限制對華出口製造14納米或更先進晶片的製造設備。 然而,不久前荷蘭外貿與發展合作大臣曾表示,在美國與其他盟友進行貿易規則談判的過程中,荷蘭作出自己的決定,重要的是捍衛荷蘭自己的利益。 近日,荷蘭國際關系研究所(clingendael.org)也發布了一篇題為《美國晶片新規則威脅歐洲戰略自主》的分析報告。 該報告警告稱,美國最新出台的對華出口新規,以及希望歐洲跟進效仿該規則的努力,威脅到了歐洲領先半導體企業在半導體行業的競爭力。如果這些領先的歐洲半導體企業競爭力降低,將使得歐盟很難在一個日益由其他大國和大公司主導的全球半導體供應鏈體系中自主行動。 美國政府今年10月7日出台了限制對華出口晶片及晶片製造設備等一系列的新的限制令,以減緩其技術發展。 美國隨後還希望歐洲公司效仿,跟進其對華新規。 比如荷蘭公司ASML是全球最大的光刻機製造商,是全球晶片供應鏈的關鍵廠商,美國政府試圖說服荷蘭政府阻止ASML等歐洲向中國出口晶片或設備,但歐洲晶片公司如果遵循美國出口限制,那麼其帶來的成本卻遠高於美國公司。 報告稱,先進的計算機晶片是未來,但歐盟在全球晶片收入中的份額最近有所下降。 如果歐盟想成為一個不可忽視的世界大國,這需要能夠自主行動,它應該確保在談判限制對華出口晶片或設備時,不會削弱ASML等歐洲重要半導體公司的競爭力。 荷蘭ASML是全球晶片供應鏈的重量級玩家,許多晶片製造商都依賴ASML的光刻機,它不僅控制了DUV光刻機市場95%的市場份額,同時它還是尖端先進位程所必須的EUV光刻機的唯一供應商,換句話說,如果ASML不參與,美國晶片出口新規則將難以發揮出預想的效力。 2018年和2019年,美國官員曾成功游說荷蘭政府撤銷ASML向中國晶片製造商中芯國際出口EUV光刻機的出口許可證。 美國當時的理由是適用雙重用途原則。換句話說,有了這些最先進的系統,可以生產最先進的晶片,這些晶片有可能用於高度先進的武器系統。 在看到美國白宮官員於2019年7月分享的美國機密情報報告後,荷蘭首相馬克·呂特同意了限制ASML向中國出口EUV光刻機的請求。 △參觀者於2022年11月7日在中國上海舉行的第五屆中國國際進口博覽會期間在ASML展廳了解光刻機技術。路透社 雖然中國公司在那之後無法再購買EUV系統,但他們對相對較舊的DUV系統的需求增加了。 2022年第一季度,中國對DUV系統的需求占ASML銷售額的34%。 這些DUV光刻機生產的晶片是目前最常用的晶片,可以廣泛用於汽車、智慧型手機和計算機等。因此,這些機器目前也是ASML的主要收入來源。 2020年,ASML銷售了價值 54 億歐元的 DUV 光刻設備,其中高級類型占據了近40 億歐元。 銷售這些機器對ASML來說非常重要,因為這些收入將為其開發新一代光刻系統並保持競爭優勢所需的高額研發(R&D)成本提供資金。 但隨著今年10月7日美國新規則的出台後,美國政府希望荷蘭ASML跟進該新規,將現有的出口限制擴大到這些先進的DUV系統。 早在 2021 年 3 月,美國人工智慧國家安全委員會 (NSCAI) 就建議美國政府與日本和荷蘭協調,並為 EUV 和 DUV 系統“制定推定拒絕出口許可證的政策”。 這一建議伴隨著“令人不安的信息”,“美國不准備在人工智慧時代捍衛或與中國競爭”。換句話說,這些規則是美國對不再具有競爭力的回應,旨在減緩中國的技術發展。 △參觀者在2022年11月7日在中國上海舉行的第五屆中國國際進口博覽會ASML展位上觀看光刻機拆箱的視頻。路透社 美國政府試圖說服日本、荷蘭等外國夥伴效仿跟進它的對華新規,提出關於安全和雙重用途的論點。然而,如果荷蘭和日本政府實施相同的政策,歐洲公司的成本遠高於美國公司。 首先,之所以如此,是因為新規則側重於“光刻”和“沉積”,這是製造晶片過程中三個關鍵步驟中的兩個,歐洲公司具有明顯的優勢。 美國廠商在蝕刻方面更為先進——這是該過程的第三步,這一步在一定程度上受新規則影響較小。 其次,過去事實證明,美國公司比歐洲公司更容易申請對華出口晶片和設備等相關技術所需的許可證。 這就提出了一個問題:安全是這些新規則的主要目標嗎?哪些利益在起作用? 重要的是要知道,在這場權力鬥爭中有幾個利益在起作用?他們共同造成了惡性循環。一國為保護其國家或商業利益而採取的措施正在損害另一國的國家或商業利益,引發反應性措施。 中國的利益 首先,中國對降低費用和許可成本的興趣往往被低估。 為了能夠從美國供應商那里購買相關晶片技術許可。這些許可費可以達到很高的高度。 2017年,中國公司從西方公司賺取了約10億美元,但同時向西方公司支付了近200億美元的(許可)費用。 在過去的二十年里,僅華為就向大多數美國公司支付了超過60億美元的費用,其中包括晶片和其他先進技術的使用許可。 美國政府經常指出與中國的貿易逆差,卻忽略了中國與美國和歐洲存在巨大智慧財產權逆差的事實。 從歷史上看,新工業革命的領導者總是成為世界領導者 為了減少對美國公司的依賴,中國政府於2015年啟動了“2025戰略”。 這一戰略旨在擺脫“世界工廠”的現狀,提升中國工業的製造能力,成長為技術密集型強國,推動機器人、信息技術和清潔能源等領域的領導地位。 此後,該計劃成為美國領導層爭論的焦點,並在一定程度上引發了中美之間的貿易戰。 美國的利益 其次,在新工業革命前夕捍衛其領導地位的地緣政治利益。這樣的革命需要事物製造方式的重大轉變,並涉及大規模的組織和社會變革。從歷史上看,新工業革命的領導者總是成為世界領導者。 例如,英國蒸汽機的發明標志著第一次工業革命的開始,幫助英國成為世界上最大的貿易國。隨著計算機和網際網路的發明,美國成為第三次工業革命中無可爭議的領導者。 在第四次革命中名列前茅的風險非常高 我們目前正在經歷的第四次工業革命主要是由5G/6G和晶片驅動的。這些技術提供了全新的技術應用,例如遠程操作、自動駕駛以及更令人擔憂的武器,這些武器可以在人工智慧的幫助下獨立確定目標。 在第四次革命中名列前茅的風險非常高。2017年底,美國國家安全委員會警告唐納德·川普總統,如果中國能夠在美國之前推出國家5G網絡,中國將“在經濟,政治和軍事上獲勝”。 美國政府意識到,如果沒有中國(華為或中興)或歐洲(愛立信或諾基亞)的幫助,美國無法自行建立5G網絡,而且美國也依賴亞洲和歐洲的晶片。 圖1顯示,世界上幾乎所有的晶片都是在台灣、韓國和中國生產的。 △圖1.世界上主要的半導體(微晶片)生產商及其原產國。來源:李 (2021) 自意識到這一點以來,美國一直試圖爭取時間,以迅速填補美國技術能力的空白,並保持地緣政治在世界上的領導地位。 2018年,美國政府努力減緩華為作為領先科技公司的步伐,並試圖收購愛立信以在5G領域快速追趕。 同年,美國官員開始游說荷蘭政府撤銷ASML運往中國的最先進的機器的出口許可證。 日本的利益 除了中國和美國的利益之外,日本政府在考慮是否跟進美國對華新規方面的利益也未被充分暴露。 ASML只剩下一個真正的競爭對手,那就是日本尼康公司。尼康控制著先進DUV光刻機市場剩餘的5%市場。該公司70%至90%的機器都供應給了英特爾,並正在尋找新客戶,以減少對英特爾的過度依賴。 因此,與美國和荷蘭協調限制對華出口DUV光刻機對日本政府來說可能是困難的。此外,如果荷蘭跟進美國新規將會主要影響ASML,並可能增加日本尼康跟上ASML的機會。 △學員在台灣台南ASML控股的培訓中心學習如何製造和操作EUV機器,2020年8月20日。 路透社 荷蘭政府認為,由於ASML的重要作用,它在與日本和美國的談判中具有良好的談判地位。然而,荷蘭政府是否完全理解美國政府希望限制對華出口DUV和EUV背後的地緣政治動機,以及歐洲戰略自主的後果? 尼康利用最近中美衝突的勢頭向中國推廣其系統,聲稱他們的機器不包含美國技術。這是一個很好的賣點,特別是考慮到尼康的歐洲競爭對手確實使用美國技術。 盡管像ASML這樣的荷蘭公司使用相對較少的美國零部件,但中國仍然認為這是一種風險,因為未來美國可能會要求其他國家的高科技產品獲得含有美國零部件的許可證才能對華出口。 最近的全球晶片短缺向歐洲領導人展示了晶片對最新技術發展的重要性根據現行法規,美國能夠要求從其他國家運往中國的高科技產品獲得許可證,前提是其中美國製造的零部件或技術占其總價值的25%以上。 由於ASML的EUV機器不符合25%的門檻,但是ASML的DUV光刻機則低於這個門檻,因此可以繼續對華出口。 但是美國政府未來可能會進一步降低美國原產技術的25%門檻,這將為禁止先進的DUV機器對華出口開辟可能性。 因此,為了避免未來的不確定性,一些中國企業確實已經轉向日本機器。 荷蘭的利益 到目前為止,荷蘭的一個重要利益是顯而易見的:ASML在很大程度上依賴於DUV系統的銷售來保持其競爭地位。 與ASML最大的競爭對手相比,使ASML處於劣勢的協議危及ASML的地位。但荷蘭的利益大於保護一家成功的大型荷蘭公司。 最近的全球晶片短缺向歐洲領導人展示了微晶片對最新技術發展的重要性。 根據歐盟委員會的一項調查,歐洲工業界預計到2030年晶片需求將翻一番。這反映了晶片對歐洲工業和社會的重要性日益增加。 滿足這種不斷增長的需求將是一個挑戰。歐盟在全球晶片收入中的份額從 1990 年代的 20% 下降到 2022 年的...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

有多貴?ASML新EUV光刻機單台硬體造價:向頂級戰機看齊節奏

都知道光刻機單台成本非常的貴,但是你知道有多貴嗎? 一台數億美元的光刻機讓我們看到了一款硬體設備的價格極限,然而,ASML CEO Peter Wennink最新接受媒體采訪時透露,他們正在全力研製劃時代的新光刻機high-NA EUV設備,而高NA EUV光刻機系統的單台造價將在25億元(單台造價在3億到3.5億歐元之間,約合人民幣21.95到25.61億元)。 這個價格什麼概念,資料顯示重型航母(排水量60000噸以上)航母造價是35億美金左右,而上述光刻機成本等同於f35戰鬥機造價(1.5-2.5億美元)。 盡管如此昂貴,但Intel此前表示自己是全球第一個下單的客戶,台積電也跟進了。高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。 按照ASML的說法,高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。該公司還預計其營收將在2025年翻一番。 來源:快科技
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML新一代高NA EUV光刻機造價2500億:Intel一年賺的錢不夠買一台

荷蘭ASML(阿斯麥)正在全力研製劃時代的新光刻機high-NA EUV設備。 所謂high-NA即高數值孔徑,從當前的0.33提升到0.55,從而允許更緊密的電路圖案(2nm及以下)和更高的生產效率。 據elec報導,周二在韓國首爾,ASML CEO Peter Wennink透露,高NA EUV光刻機系統的單台造價將在300億到350億歐元之間,約合人民幣2195到2561億元。 這是什麼概念? ASML目前在售的雙工件台EUV光刻機不過數億美元,此前有調侃說相當於一架F22/35戰機。而下一代產品不僅身價上調百倍,別說戰機,這麼一大筆錢“造航母”都夠了。 在舉個簡單的例子,2021財年Intel的淨利潤總額是199億美元,換言之,Intel忙活一年掙的錢,買一台高NA EUV光刻機都不夠…… 不過,此前Intel表示自己是全球第一個下單的客戶,台積電也跟進了,三星和SK海力士則尚未表態。 按照ASML的說法,高NA EUV光刻機將在2024年進廠投入使用,預計年產能20台左右。該公司還預計其營收將在2025年翻一番。 來源:快科技

ASML宣布DUV和EUV光刻設備擴產,同時上調營收目標並推出新的股票回購計劃

近日ASML(阿斯麥)在投資者日會議上,執行長Peter Wennick和執行副總裁兼財務長Roger Dassen介紹了ASML的長期戰略、大趨勢、市場需求、產能計劃和商業模式,以支持公司的未來增長。其中很重要的一點是,ASML計劃調整其生產能力,以滿足未來的需求,為周期性做好准備,同時與所有利益相關者公平分擔風險和回報。 根據ASML的新計劃,2025年至2026年的年產能將提高到90台EUV(極紫外光)光刻系統和600台DUV(深紫外光)光刻系統,同時2027年至2028年High-NA EUV系統的產能也將提高到20台。在2022年第三季度中,ASML已收到了TWINSCAN EXE:5200系統的新訂單,來自於當前EUV光刻系統的客戶,而首個購入High-NA EUV系統的客戶是英特爾,預計2025年開始投入運營。 ASML還基於不同的市場情景,預計會有巨大的增長機會,以實現以下目標: 2025年 - 年收入約300億歐元至400億歐元之間,毛利率約54%至56%之間。 2030年 - 年收入約440億歐元至600億歐元之間,毛利率約56%至60%之間。 ASML不但上調了營收目標,而且還打算通過增加股息和股票回購的組合,繼續向股東返還大量現金。為此ASML宣布了一項新的股票回購計劃,自2022年11月11日起生效,將於2025年12月31日前執行,計劃回購不超過120億歐元的股票,其中總計最多200萬股將用於支付員工股票計劃。 在一系列好消息的刺激下,近期ASML股價上漲,也帶動了其他半導體設備股的股價。 ...

EUV光刻太貴了:替代技術正加快速度轉正

隨著晶片法案宣布將補貼美國半導體研發和製造500多億美元,人們對晶片製造技術的基本現狀產生了極大的興趣。 目前,三星5納米工藝(指定為5LPE)就是向全球市場提供先進晶片製造技術的其中之一,這代表了三星finFET技術取得了重大突破,未來勢必會更進一步,以更低的成本在晶片上放置更多的電晶體,同時提供更高的性能。 在晶片上刻出超細特徵所需的先進光刻技術是實現這些進步的主要推動者。 半導體光刻技術的起源與發展 光刻是半導體工業的核心技術。自1960年Fairchild Semiconductor的羅伯特·諾伊斯發明單片集成電路以來,光刻一直是主要的光刻技術。 光刻技術本質上是,掩膜版用於對光刻膠進行圖案化,從而實現圖案化沉積和蝕刻工藝。光刻工藝的最終解析度由所用光源的波長決定。 在短波長光刻源的開發方面取得的進展,使得以摩爾定律為特徵的電路密度不斷增加。在過去光刻所需光源是Mercury discharged lamps,例如365nm時期採用的i-Line,但最近KrF為248nm或ArF為193nm的準分子雷射器成為了首選光源。 採用浸潤式光刻技術,需要將透鏡和晶片浸沒在折射率比空氣高的水中,由此ArF雷射器獲得的最終解析度約為50nm。 過去二十年,193nm波長的光刻技術得到了發展。雖然使用F2準分子雷射的157nm光刻技術取得了一些突破,但人們主要關注的還是使用13.5nm軟X射線作為光源的極紫外(EUV)光刻技術。 荷蘭ASML在EUV技術的研發中發揮了主導作用,目前其EUV設備主要被包括英特爾、三星和台積電在內的先進CMOS代工廠用於生產。 實踐中的光刻方法 許多光刻方法被應用於製造單個晶片設計,TechInsights最近對三星5LPE工藝進行了詳細分析。圖2顯示了CPU邏輯區域中柵極和鰭片布局的平面圖TEM圖像。 圖2 三星 5LPE Gate和Fin Layout 自對准四重構圖(SAQP)幾乎可以肯定地用於對鰭片進行構圖,鰭片心軸的大致位置如圖所示,該心軸本應使用ArF 193 nm浸沒(ArF 193i)光刻法進行圖案化,通過在心軸上形成側壁間隔件,進而形成最終的鰭片圖案。 心軸的間距為108納米,然後將心軸移除,使用第一側壁間隔物圖案來創建第二組側壁間隔件,最終給出27nm的鰭片間距。 兩組側壁間隔物的大致位置和尺寸如圖3所示,這是一張橫截面TEM圖像,顯示了邏輯區域中三星5LPE工藝的27nm間距鰭片結構。 圖3 三星 5LPE Fin Cross Section 使用有源鰭片切割掩膜去除不需要的鰭片,並用淺溝槽隔離(STI)代替它們。圖2所示的金屬柵極很可能是使用自對准雙圖案化(SADP)技術形成的,其中心軸上的側壁間隔物直接用於圖案化多晶矽柵極,再用金屬柵極取代。 目前正在製造的先進半導體器件的尺寸明顯小於用ArF浸沒光刻法獲得的約50 nm最小半間距,這就需要開發越來越復雜的工藝技術。例如,根據最近TechInsights分析的結果,三星5 nm LPE工藝使用了多種先進的光刻方法,包括EUV. SAQP光刻技術可以產生非常精細的間距特徵,但僅限於創建單向定向的單軸結構,且線路末端需要特殊的切割掩膜,以防止相鄰線路之間短路。EUV光刻沒有這些限制,但成本更高。 圖4顯示了三星5LPE設備CPU邏輯區中金屬0布局的平面圖TEM顯微照片,觀察到的最小金屬間距約為44nm。此外,布局包括在兩個正交方向上定向的線,如果使用SADP或SAQP ArF 193i光刻方法,通常不可能產生這種情況。 圖4 三星5LP Metal 0...

ASML公布2022Q3財報:訂單積壓超過380億歐元,新增訂單金額再創新高

ASML(阿斯麥)公布了2022年第三季度財報,顯示該季度中淨銷售額為58億歐元(約合人民幣405.92億元),毛利率為51.8%,淨利潤為17億歐元(約合人民幣118.98億元)。 ASML執行長Peter Wennick表示,2022年第三季度的淨銷售額和毛利率高於預期,新增訂單金額達到了89億歐元(約合人民幣622.88億元),創下了歷史新高,其中38億歐元來自於EUV系統的訂單,包括了High-NA系統。雖然受到了通貨膨脹、消費者信心和經濟衰退風險等全球宏觀經濟因素的影響,市場充滿了不確定性,細分市場也存在動態和分化,但客戶的整體需求依然強勁。 數據顯示,ASML在2022年第三季度銷售了80台全新的光刻機,還有6台二手的光刻機,包括了12台EUV設備(與第二季度一致)和74台DUV設備(低於第二季度的79台)。目前ASML訂單積壓的情況仍較為嚴重,包括100多台EUV光刻機和大約600多台DUV光刻機,總金額超過了380億歐元(約合人民幣2659.51億元,高於第二季度的330億歐元)。 在2022年第三季度中,ASML收到了TWINSCAN EXE:5200系統的新訂單,來自於當前EUV光刻機的客戶,此前該客戶並沒有訂購過這類設備。新的EUV系統將提供0.55數值孔徑,雖然ASML沒有透露具體客戶的名稱,但從過往的消息來看,已下單的廠商包括了台積電、英特爾、三星和SK海力士。 ASML預計2022年第四季度的淨銷售額在61億歐元到66億歐元之間,毛利率約為49%,全年淨銷售額大概在211億歐元,毛利率接近50%。 ...

7nm沒人用了 台積電砍了一刀 ASML股價暴跌9%

盡管台積電Q3季度的營收及盈利大漲,7nm及5nm等先進工藝依然無人能敵,貢獻了54%的營收,然而台積電還是要面對半導體市場需求下滑的難題,為此決定削減40億美元的開支。 去年台積電宣布了史上最大規模的產能提升計劃,三年內投資至少1000億美元擴建成熟及先進產能,其中今年的資本開支就高達400億美元,隨著這次的削減,開支將減少到360億美元,減少的40億美元中有20億美元是用於購買設備的,20億用於產能優化。 台積電大砍投資,一個重要原因就是晶片的產能利用率很快就要下滑,其中7nm工藝面臨需求衰退的壓力,明年上半年產能利用率僅約70%,明年上半年整體產能利用率則可能落在85%~89%之間,低於先前預期的九成以上。 如今台積電砍了一刀,自己的股價倒是沒什麼影響,直接把全球最大的光刻機公司ASML帶崩了,今天股價暴跌了9%,收盤每股376.5歐元。 據了解,ASML在2021年的營收中有44%來自台灣公司,其中絕大多數都是台積電貢獻的,因此台積電削減資本開支,ASML的光刻機采購量首先就會受到影響。 來源:快科技

ASML首席技術官認為當前光刻技術或走到盡頭,High-NA EUV可能成為終點

近年來,ASML站到了世界半導體技術的中心位置。去年ASML兩次提高了生產目標,希望到2025年,其年出貨量能達到約600台DUV(深紫外光)光刻機以及90台EUV(極紫外光)光刻機。由於持續的晶片短缺,交付問題每天都在發生,而且ASML還遇到了柏林工廠火災這樣的意外。 日前,ASML的首席技術官Martin van den Brink接受了Bits & Chips的采訪。 據Martin van den Brink介紹,開發High-NA EUV技術的最大挑戰是為EUV光學器件構建計量工具,配備的反射鏡尺寸為此前產品的兩倍,同時需要將其平整度控制在20皮米內。這種需要在一個「可以容納半個公司」的真空容器中進行驗證,其位於蔡司公司,這是ASML推進High-NA EUV技術的關鍵光學合作夥伴,是後來加入的。 目前ASML有序地執行其路線圖,且進展順利,在EUV之後是High-NA EUV技術,ASML正在為客戶交付首台High-NA EUV光刻機做准備,大概會在明年某個時間點完成。雖然供應鏈問題仍可能打亂ASML的時間表,不過應該問題不大。High-NA EUV光刻機會比現有的EUV光刻機更為耗電,從1.5兆瓦增加到2兆瓦。主要原因是因為光源,High-NA使用了相同的光源需要額外0.5兆瓦,ASML還使用水冷銅線為其供電。 外界還想知道,High-NA EUV技術之後的繼任者。ASML技術副總裁Jos Benschop在去年SPIE高級光刻會議上透露了可能的替代方案,即降低波長。不過這種方案需要解決一些問題,因為EUV反射鏡反射光的效率很大程度上取決於入射角,而波長的降低會改變角度范圍,使得透鏡必須變得太大而無法補償,這種現象也會隨著數值孔徑的增加而出現。 Martin van den Brink證實,ASML正在對此進行研究,不過個人而言,懷疑Hyper-NA將是最後一個NA,而且不一定能真正投入生產,這意味經過數十年的光刻技術創新,我們可能會走到當前半導體光刻技術之路的盡頭。ASML進行Hyper-NA研究計劃的主要目標是提出智能解決方案,使技術在成本和可製造性方面保持可控。 High-NA EUV系統將提供0.55數值孔徑,與此前配備0.33數值孔徑透鏡的EUV系統相比,精度會有所提高,可以實現更高解析度的圖案化,以實現更小的電晶體特徵。到了hyper-NA系統,會高於0.7,甚至達到0.75,理論上是可以做到的。 Martin van den Brink不希望製造更為龐大的「怪物」,預計hyper-NA可能是接下來半導體光刻技術發展會出現問題的地方,其製造和使用成本都會高得驚人。如果採用Hyper-NA技術的製造成本增長速度和目前High-NA EUV技術一樣,那麼經濟層面幾乎是不可行的。就目前而言,Martin...
ASML設立首個EUV海外培訓中心 就近輔導台積電打磨先進工藝

ASML拚命研製的新款高NA EUV光刻機:或是最後一代

ASML一直處於高度戒備狀態。 去年,該公司兩次提高了生產目標:它希望到 2025 年出貨約 600 台 DUV 和 90 台 EUV 光刻機,而去年分別為不到 200 台和 35 台。 ASML很典型的一周是,數百人在 Veldhoven 開始他們的新工作。由於持續的晶片短缺,交付問題每天都在發生。更不用說像今年年初柏林工廠發生火災這樣的挫折,導致 EUV 晶圓夾具的生產暫時癱瘓。 盡管如此,Martin van den Brink(編者註:ASML首席技術官)還是很滿足和放鬆的。EUV 光刻機的生產力還沒有達到客戶的預期,但幾年來,EUV 光刻機已經成為世界上最先進晶片的生產過程中不可或缺的一部分。 “經過比計劃多十年的努力,這讓我鬆了一口氣。”Martin...

ASML EUV光刻機被批「電老虎」:功耗100萬瓦 是上代10倍

當前,7nm以及更先進晶片製造有賴於ASML的EUV光刻機才能實現,最新款單價高達1.5億美元,包含10萬個部件和長達2公里的布線。 這麼一台設備除了價格昂貴、零件繁多、造型龐大等,耗電能力同樣不容小覷。 據媒體披露,當前ASML最新一代EUV光刻機的額定功耗是100萬瓦,約是前幾代設備的10倍。僅台積電就擁有超過80台EUV光刻機,粗算之下,開機一天的耗電量高達上百萬度。 類似地,三星在韓國的6個半導體製造基地去年的總能耗占到集團的3%,未來更多EUV光刻機進廠部署後,這個數字還可能繼續提高。 對於晶片製造行業的高耗能問題,業內認為,切換能源類型勢在必行,也就是讓可再生能源發電的比例提高。 來源:快科技

2nm晶片研發遭遇瓶頸:沒ASML下一代EUV光刻機搞不掂

在業內,比Intel、台積電、三星還要早就能接觸到ASML光刻機新品的是比利時微電子研究中心(IMEC),雖然名氣不大,但其實它是世界上最大的半導體專門研究機構。 因為離得近,ASML的原型試做機,往往在完工後就第一時間送交IMEC評估嘗鮮。 日前,IMEC執行長Luc Van den hove在公開路線圖時表示,當前的EUV光刻設備其實可以響應到2nm的微縮水平,不過,想要超越,必須要靠下一代高NA EUV光刻機。 他督促ASML在未來3年內,全力投產高NA光刻機。 所謂高NA也就是光刻機的透鏡和反射鏡數值孔徑達到0.55,進而增加光刻解析度,以便制備更精密的為電路圖像。當前的EUV光刻機均停留在0.33的水平。 一切順利的話,ASML會在明年推出其首款高NA EUV光刻機,Intel、三星和台積電都爭相第一時間部署進廠,其中Intel下手最快。 這款光刻機價值高達4億美元(約合26億元人民幣),組裝好的體積有雙層巴士大、重超200噸。 來源:快科技

台積電表示晶片需求依然強勁,但ASML很難提供足夠的製造工具

在過去兩年里,市場對晶片的高需求和客戶支付費用的上升,使得台積電的營收不斷創下歷史新高。盡管近期消費類晶片的需求放緩,不過對5G、AI、HPC和汽車晶片的需求依然保持穩定。台積電面臨的問題是晶圓廠需要獲得更多的設備,而ASML(阿斯麥)早已表示對半導體製造工具的需求大大超過了供應量。 此前台積電公布了2022年第二季度的業績,創下了182億美元的新紀錄,同比增長43.5%。雖然4月和5月同比增長了55%和65.3%,但是到了6月,同比增長大幅度減少到18.5%,這表明增長正在放緩。 採用現代工藝生產的晶片,周期普遍都在60天或以上,具體時間取決於復雜程度和層數,台積電的N16工藝約為60天、N7工藝需要90天以上、N5工藝要100天以上,而這些製程節點占據了台積電65%的收入。如果客戶從今年3月和4月開始減少訂單,那麼最快從營收上顯現就是6月。雖然需求下降,但台積電也承認一些領域的晶片需求仍超過了其供應能力,預計2022年剩餘時間里,產品依然緊張。 目前台積電N4/N5工藝的出貨量占2022年第二季度收入的21%,N6/N7工藝的收入占30%,兩者相加達到了銷售金額的51%,意味著先進工藝占據了超過一半的收入。隨著越來越多的客戶採用N7或更先進的工藝,意味著台積電需要進一步擴大產能,這也是台積電今年資本支出達到了400億到440億美元的原因之一。 此外,台積電還建議客戶從一些較舊的製程節點遷移到28nm或具備專業技術的工藝,這可以確保產能的穩定。台積電計劃到2025年,將這些工藝的產能擴大50%。光刻機不是唯一的半導體製造工具,台積電還需要購置額外的配套設備,以構建完整的生產線。 AnandTech表示,ASML今年計劃出貨55台EUV(極紫外)光刻機和240台DUV(深紫外)光刻機,其中40台EUV光刻機價值64億歐元,剩下15台通過快速裝運方式操作,意思是跳過ASML的一些工廠測試項目,直接在客戶現場測試並驗收,這會影響款項入帳時間。ASML預計2023年的產能將提高到60台EUV光刻機,以及375台DUV光刻機。 台積電並不是唯一一家擴大產能的晶圓廠,不過目前ASML訂單積壓的情況已較為嚴重,據稱包括100多台EUV光刻機和大約600台DUV光刻機,總金額大概在330億歐元,加上潛在增加的訂單,需要數年時間才能消化。事實上,在2022年第二季度中,ASML的預訂量就傳下了季度記錄,共有91台光刻機(2022年第一季度為59台),其中EUV光刻機有12台(2022年第一季度為3台)。 台積電已決定今年的資本支出將處於計劃內的較低水平,意味著大概在400億美元左右,部分采購項目會推遲到2023年,將交付時間延期。原因並不是晶片需求減弱或台積電資金不足,而是半導體設備製造商不能提供足夠的設備。 如果晶圓廠想額外獲得更多的半導體製造工具,估計比較難。未來一段時間內,如果客戶想在台積電下單,採用N3、N4和N5工藝,半導體製造工具不足會影響晶片的工藝技術選擇。 ...
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

顯卡、記憶體全在跌 ASML的EUV光刻機賣不動:一下少了15台

全球半導體市場從產能緊張已經轉向過剩,部分領域跌價跌得厲害,比如顯卡、記憶體及SSD等,這一波晶片價格下滑也會影響廠商的生產計劃,結果就是ASML一度供不應求的EUV光刻機賣不動了,今年出貨量減少15台。 ASML昨天發布了2022年Q2季度財報,當季淨銷售額為54.31億歐元,好於市場預期的52.6億歐元,上年同期為40.20億歐元,同比增長35%。淨利潤為14.11億歐元,上年同期為10.38億歐元,同比增長36%。 雖然業績大漲,但是ASML對全年的預期更加悲觀,從之前預期增長20%下調到了增長10%,其中一個重要原因就是EUV光刻機的出貨量預期大砍,原本計劃今年出貨55台,現在縮減到了40台,考慮到EUV光刻機約合10億元的價格,這一下子就是減少了150億的營收空間。 ASML沒有提到EUV出貨下滑都是哪些客戶取消了訂單,不過能用到EUV光刻機的也主要是台積電、三星及Intel三家公司,削減訂單的顯然是這三家。 來源:快科技

ASML公布2022Q2財報:客戶需求依然強勁,新增訂單金額創新高

ASML(阿斯麥)公布了2022年第二季度財報,顯示該季度中淨銷售額為54.31億歐元(約合人民幣373.67億元),毛利率為49.1%,淨利潤為14.11億歐元(約合人民幣97.08億元)。 ASML執行長Peter Wennick表示,2022年第二季度的財報顯示客戶的需求依然強勁,新增訂單金額達到了85億歐元(約合人民幣584.83億元),創下了歷史新高,其中54億歐元(約合人民幣371.54億元)來自0.33NA和0.55NA EUV系統訂單以及大量的DUV訂單。為了滿足客戶的產能擴張計劃,ASML將在今年剩餘時間內加快出貨速度,提高計劃的出貨數量。 在DUV業務方面,ASML交付了第一套NXT KrF系統,即TWINSCAN NXT:870,可實現27%的吞吐量增長;在應用業務方面,ASML在客戶現場內完成了第一套eScan1100多電子束系統的安裝,客戶目前正對其進行評估;在EUV High-NA業務上,目前已收到供應商提供的首個High-NA投影光學模組和照明模組以及全新的晶圓台,將用於EXE:5000項目的初始測試和集成中。 根據2021年至2013年的股票回購計劃,ASML在2022年第二季度購買了大概12億歐元(約合人民幣82.56億元)的股票,該計劃的詳細信息以及與之相關的交易均發布在其官網上。同時ASML按照修訂後的股息政策,將按季度支付股息,從2022年8月12日支付中期股息開始,即每股普通股1.37歐元(約合人民幣9.43億元)。 ASML預計2022年第三季度的淨銷售額在51億歐元到54億歐元之間,毛利率在49%到50%之間,全年淨銷售額增長約10%。 ...

單價26億元 ASML下一代EUV光刻機啟動:核心部件到貨

荷蘭ASML公司今天發布了2022年第二季度財報,當季淨銷售額為54.31億歐元,好於市場預期的52.6億歐元,上年同期為40.20億歐元,同比增長35%。 毛利潤為26.65億歐元,上一季度為17.31億歐元,上年同期為20.45億歐元;毛利率為49.1%,上一季度為49.0%。淨利潤為14.11億歐元,上年同期為10.38億歐元,同比增長36%。 Q2新增訂單金額為84.61億歐元,其中包括54億歐元的EUV訂單,較上一季度的新增訂單金額69.77億歐元環比增長21%。 本季度中,ASML公司出貨了12台EUV光刻機,比Q1季度的3台大幅增長,之前因為種種原因限制了出貨。 值得一提的是,在本季度中,ASML公司下一代的高NA孔徑EUV光刻機獲得了重大突破,已經收到了來自供應商的物鏡、光源及工作檯,這也是光刻機的三大核心部件,關繫著光刻機的正常運行。 根據ASML的消息,相比目前NA孔徑只有0.33的光刻機,下一代的EUV光刻機NA孔徑提升到0.55,有望進一步提高晶片工藝,是製造2nm及以下工藝的關鍵設備。 高NA孔徑的EUV光刻機價格也會大幅上漲,相比目前單台10億元的價格,下一代光刻機價格要暴漲到4億美元,人民幣26億元以上,原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 Intel是第一個下單新一代EUV光刻機的,首批4台應該都是他們的,不過三星及台積電也下單了,後面也會角逐新一代工藝。 來源:快科技

26億一台 ASML全新光刻機准備中:Intel提前鎖定 沖擊2nm工藝

對於晶片廠商而言,光刻機顯得至關重要,而ASML也在積極布局新的技術。據媒體報導稱,截至 2022 年第一季度,ASML已出貨136個EUV系統,約曝光7000萬個晶圓已曝光。 按照官方的說法,新型號的EUV光刻機系統 NXE:3600D將能達到93%的可用性,這將讓其進一步接近DUV光刻機(95%的可用性)。 數據顯示,NXE:3600D系統每小時可生產160個晶圓 (wph),速度為30mJ/cm,這比 NXE:3400C高18%。二正在開發的 NXE:3800E系統最初將以30mJ/cm的速度提供大過195wph的產能,並在吞吐量升級後達到220wph。 據介紹,NXE:3600E 將在像差、重疊和吞吐量方面進行漸進式光學改進,而在0.33 NA的EUV光刻機領域,ASML路線圖包括到2025年左右推出吞吐量約為220wph的NXE:4000F。 對於0.55 NA的光刻機,需要更新的不但是其光刻機系統。同時還需要在光掩模、光刻膠疊層和圖案轉移工藝等方面齊頭並進,才能讓新設備應用成為可能。 根據ASML 在一季度財務會議上披露的數據,公司的目標是在2022年出貨55台EUV系統,並到2025年實現(最多)90台工具的計劃。ASML同時還承認, 90台可能超過2025年的實際需求,不過他們將其描述為為滿足2030年1萬億美元半導體行業需求所做出的巨大努力。 按照之前的說法,ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 來源:快科技

ASML新一代EUV光刻機上路:單價超26億

EDA和光刻機可以說是晶片研發、生產設備皇冠上的明珠。 最新消息稱,荷蘭ASML正在研發新款光刻機,價值高達4億美元(約合26億元人民幣),雙層巴士大、重超200噸。 原型機預計2023年上半年完工,2025年首次投入使用,2026年到2030年主力出貨。 這款機器應該指的就是High-NA EXE:5200(0.55NA),Intel是全球第一個下單的公司。所謂High-NA也就是高數值孔徑,2nm之後的節點都得依賴它實現。 在ASML公布的今年一季度財報中,EXE:5200已經訂出去不止一台。按計劃,第一台原型試做機2023年開放,預計由imec(比利時微電子研究中心)裝機,2025年後量產,第一台預計交付Intel。 據了解,實際上,ASML的EUV光刻機非常龐大,現售的0.33NA EUV光刻機擁有超10萬零件,需要40個海運貨櫃或者4架噴氣貨機才能一次性運輸完成,單價1.4億美元左右。 來源:快科技
ASML公布最新一代EUV光刻機3600D 生產效率增加18%

ASML中國:現有技術搞定1nm晶片綽綽有餘

5月16日是聯合國教科文組織定義的「國際光日」,ASML中國官方在一篇中寫道「創新,讓摩爾定律重煥光彩」。 ASML中國強調,過去15年,摩爾定律依然生效且狀況良好,未來十年甚至更長時間內將繼續保持勢頭。 ASML自信滿滿地指出「在元件方面,目前的技術創新足夠將晶片的製程推進至至少1納米節點,包括gate-all-around FETs(環繞柵極電晶體),nanosheet FETs,forksheet FETs以及complementary FETs」。 此外,光刻系統解析度的改進(預計每6年左右縮小2倍)和邊緣放置誤差(EPE)對精度的衡量也將進一步推動晶片尺寸縮小的實現。 據了解,納米之後將進入埃米時代,台積電、Intel等都制定了雄心勃勃的埃米工藝早期路線圖。也許,技術創新之所以彌足珍貴,內核要義就在於不會被困難打倒。 來源:快科技

光刻機巨頭ASML爆猛料:晶片太缺 都開始拆洗衣機了

晶片不夠,洗衣機來湊。 沒開玩笑,這話可是光刻機巨頭ASML的CEO——Peter Wennick,在最近的財報電話會議中親口說的: 一家大型工業集團的高管告訴我,他們正在大量購買洗衣機,把里面的半導體「抽出來」,放到自家的晶片模塊里。 Peter還補充說:「這種事,到處都在發生。」 消息一出,立刻成為了半導體圈里的「今日頭條」: 全球缺芯,已然是一個不爭的事實。 但竟連巨頭ASML都能曝出這種猛料,現在晶片真的缺到這種地步了嗎? 以至於面對這種奇聞異事走入現實,有網友甚至都在懷疑ASML有炒作的嫌疑…… 也有人從中發現新的機會。 那麼我們不妨從ASML財報入手,來看看這件事的原委。 中國成ASML第一季度最大客戶 2022年第一季度,ASML淨銷售額35億歐元,淨利潤6.95億歐元,毛利率49.0%,相比上一季度均有所下降。 交付至中國的產品占比從22%上升到34%,中國成為本季度第一大客戶。 預訂情況來看,第一季度淨預定量70億歐元,其中25億來自EUV光刻機。 其中包括更先進的下一代EUV,採用0.55NA(數值孔徑)。 NA值越高,所製造晶片上的電路解析度也就越高。 首批高NA光刻機訂單由英特爾搶購成功,台積電甚至都沒拿到。 下一代EUV光刻機最快將在2024年底正式投產。 對於未來,ASML預計第二季度銷售額在51億-53億歐元之間,全年收入預計會增長20%。 在ASML眼中,2022財年的前景似乎相當穩定。 但在投資市場上,受宏觀經濟衰退的預期所影響,半導體行業似乎開始遇冷了。 有人在會議上向Wennink提出這樣的問題: 是投資人太悲觀了,還是你們太樂觀了? Wennink認為從市場需求來看,足夠樂觀。 ASML今年能完成全部訂單的60%就已經很不錯了。 也就是在接下來的回答中,爆料了洗衣機的事情,試圖表達需求沒有減弱的跡象。 那麼,問題來了: 回收洗衣機晶片,真的靠譜嗎? 一台洗衣機,即使是非智能不聯網的那種,用到晶片的地方還挺多的。 首先,要自動執行洗衣程序,各類傳感器得有吧。 壓力傳感器負責給衣服稱重、液面傳感器控制水位。還得有測水溫的、通過光線透射率判斷是否洗干淨了的…… 要是再高端一點,還會用到自動檢測布料材質的。 接下來,所有這些傳感器檢測到的數據,傳輸到處理器里去計算,通常是用單片機完成。 數據處理好之後,再給控制單元下達指令,執行轉速調整,進水放水等操作。 另外,操作面板上也得單獨來一塊負責人機互動的單片機,負責按鈕或觸摸操作,以及顯示屏驅動等功能。 洗衣機還有兩個特點,內部環境潮濕,以及運行起來震動大,這就給晶片提出了額外的抗干擾、出錯後有能力復位等要求。 這些要求拉高了洗衣機所用晶片的質量,不過也給回收利用增加了一些難度。 為了防水,通常會灌注樹脂等材料把洗衣機電路板包裹起來,像這樣: 這要想批量處理掉,拆出里面的半導體元件難度不小,成本恐怕也不低。 就這,也有公司要特意收購洗衣機來拆,足見缺芯情況之嚴重了。 甚至有人順著這個思路開始懷疑,ASML的CEO怕不是講了個段子? 回收洗衣機晶片的成本之高,只有用於生產售價超過100萬美元的產品上才make sense。 但實際上,對洗衣機「下手」可能只是整個行業的一個縮影。 ASML的CEO後面補充道,半導體回收技術15-25年前就有了,現在正在世界各地得到應用。 物聯網是主要應用方向。 物聯網設備數量大,所用的晶片又不那麼精密、復雜,使回收利用成為可能。 比如洗衣機常用的瑞薩電子RX系列單片機,就能同時用於各類家電,甚至工業設備和機器人。 就連ASML自己,也在2020年交付了一種復用舊傳感器、舊零件生產的SMASH掃描設備。 全球缺芯愈演愈烈 雖說此次ASML執行長所爆料的半導體領域「搜刮洗衣機」事件,更像是一件奇聞趣事走入現實。 但其背後所影射出更深入的話題便是——全球晶片愈演愈烈。 正如Peter在此次財報電話會議中所透露出來的信息,即便是像ASML這種段位的選手,自家的產能也只能滿足60%光刻機的訂單需求。 而且他還透露中國一家主要晶片製造商已經售罄了到2023年底的全部產能。 無獨有偶,美國半導體蝕刻機供應商泛林集團CEO,同樣是在最近的財報電話會議中表示: 在需求方面整體環境仍然十分強勁。 而與供應相關的持續延誤,可能會限制今年年內有關晶圓設備的實際投入。 台積電方面,CEO魏哲家也強調供應商所面臨的挑戰,勞動力和晶片方面的限制導致交付時間延長,其產能在2022年仍然緊張。 除了半導體龍頭設備商發出的這些重要信號之外,國外一些研究機構所發布的調研也是與缺芯現狀相契合。 例如Susquehannna金融集團的研究表明,3月份半導體交互等待周期上升,達到26.6周的新高。 而在2019年的時候,當時的正常「晶片交付周期」,還是停留在6至9周;到了2021年7月,晶片訂單的平均交付時間已延長至19周。 再具體到細分領域,汽車行業在「缺芯」浪潮中的體現可以說是最為直觀。 就在最近,三大汽車廠商紛紛下場對此表態: 豐田:本周將今年的產量目標下調了約10萬輛。 特斯拉:公司的生產仍受到晶片短缺和關鍵零部件漲價的影響。 大眾:缺芯的負面影響可能會持續下去 …… 之所以會出現「晶片荒」,一個主要的大原因便是全球疫情的爆發。 生產晶片離不開代工廠,不過晶片的正常運行,同樣需要上游化學產業的支持,例如氫氟酸、光刻膠、矽晶體等,都是不可或缺的關鍵。 疫情的爆發不僅讓晶片代工廠出現停產,上游的產業同樣面臨這個問題。 即使在疫情得到相應控制,代工廠逐步開始復工,但與之「配套」的其它產業似乎並沒有跟上步伐。 其次便是天災,光刻膠便是一個典型的例子。 在去年受日本強地震的影響,市場近八成的光刻膠供應告急,像供貨商「信越」更是一度宣布關閉廠區。 除此之外,整體產業的需求失衡也是疫情帶來的一個「副作用」。 因為居家辦公、居家上學在疫情之下成為了人們生活工作的一種主流方式,隨之而來的便是對電子消費級產品的需求暴增。 這就使得原本根據需求環境劃分的軍工級、車規級、工業級和消費級晶片,其產能出現了「搶奪」的態勢。 …… 然而,即便是主流晶片設備廠商、代工廠齊發聲將「持續缺芯」,但還有一些調研機構卻持反對意見。 例如Gartner的分析師Richard Gordon,針對此次ASML放出的「搜刮洗衣機」一事認為: 引用的例子似乎有些極端,不能代表現狀。 由於電動汽車、工業物聯網、5g等新應用的出現,半導體產業的長期前景看起來是樂觀的。 並且Gordon對近幾年半導體市場分析後表示——「我們已經度過了晶片短缺的高峰期」。 那麼對於缺芯還將持續多久,你持什麼觀點呢? 歡迎在評論區留言討論~ 來源:快科技

ASML表示今年只能滿足60%訂單,有企業甚至拆洗衣機將晶片另作他用

此前ASML(阿斯麥)執行長Peter Wennick在接受媒體采訪時候稱,ASML已為晶片短缺盡了最大的努力,但其光刻機的年產量仍落後於半導體製造商提出的采購要求。據Seeking Alpha報導,在近期的季度電話會議上,Peter Wennick表示看到了所有細分市場上都出現了前所未有的需求,無論是先進位程節點還是成熟製程節點。 Peter Wennick認為當前宏觀經濟環境雖然有不確定性,但基本增長動力仍在。ASML今年計劃出貨55台極紫外(EUV)光刻機和240台深紫外(DUV)光刻機,不過目前光刻機訂單的積壓數量超過了500台,交貨時間大約要兩年。如果沒有足夠的光刻機,意味著半導體製造商沒有辦法提高產能,未來幾個季度整個半導體供應鏈會繼續供不應求。 據Protocol報導,這位CEO還在會議上透露了一些企業是如何不顧一切地採取各種措施來尋找晶片,以此說明晶片短缺情況有多糟糕。據Peter Wennick的描述,有幾家大型工業公司已經要購買消費級別的洗衣機,拆解後取出晶片用於其他工業用途。由於洗衣機使用的都是利用成熟工藝製造的晶片,相比一般的洗衣機價格,本身較為廉價,正常來說這種方法似乎成本有點高,所以有可能是回收二手或損壞的洗衣機。 在ASML看來,大家在各個維度都大大低估了半導體方面的需求,無論從技術、市場還是地域等方面,而且可能很長時間內都會受到影響。Peter Wennick坦誠,即便是15年、20年甚至25年前的半導體技術,現在依然到處使用,物聯網的發展可能進一步推動普通消費產品里一些舊晶片的需求。 ...

二手半導體生產設備價格飆升,中國成為全球最大購買方

此前ASML(阿斯麥)執行長Peter Wennick在接受媒體采訪時候表示,ASML已為晶片短缺盡了最大的努力,但其光刻機的年產量仍落後於半導體製造商提出的采購要求,考慮到這種精密設備的復雜性,產量上很難提高。目前半導體生產設備已出現大面積延遲,訂單要等上兩年才能交付,ASML預期晶片產能緊張的情況會延續到2023年。 現階段不少半導體製造商為了應對晶片短缺問題,都大量投資產能,行業顯得非常火熱,但受制於生產設備的產量,實際上產能提升受到了極大的限制。如果想較短時間內提升產能,購買二手半導體生產設備成為了一種可行的解決方案。 一些推進先進位程工藝的廠商會將原有的半導體生產設備出售給中間商或租賃公司,然後轉售或出租給採用成熟製程工藝的半導體製造商,隨著整個行業對這類型設備的需求增加,二手半導體生產設備價格飆升。有媒體報導指,在過去幾年里,二手半導體生產設備的價格已翻了一倍。Mitsubishi HC Capital的一位銷售代表稱,最極端的情況下,有些二手半導體生產設備的價格翻了五倍。 據統計,2021年全球半導體生產設備的銷售額,從2020年的712億美元上升到了1026億美元,增長了44%。毫無疑問,中國市場領跑,購買了296.2億美元的半導體生產設備,隨後的是韓國(249.8億美元)和台灣(249.4億美元)。 雖然大家都在討論極紫外(EUV)光刻機等先進的前沿半導體生產工具,但事實上採用成熟製程工藝的晶片需求也在不斷增長。顯而易見的例子是電源管理IC(PMIC),通常會採用成熟製程工藝技術和200mm晶圓。這類型工藝要求的市場需求強勁,促使晶圓代工廠不斷興建200mm晶圓廠,以滿足模擬晶片、PMIC、MOSFET、MCU、汽車晶片、以及物聯網設備等晶片需求的增長。 ...

半導體製造設備交付需等待18個月,多重因素疊加影響產能提升

近兩年來,半導體供應鏈上出現了各種短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張,這需要大量采購生產設備。此前已有報導稱,由於市場需求旺盛,作為全球最大的光刻機製造商的阿斯麥(ASML)即便再提速,其光刻機年產量仍落後於半導體製造商提出的采購要求,需要在目前基礎上提高50%才能滿足市場的需求。 事實上,現階段半導體產能擴張已經十分困難,半導體製造設備的生產出現了瓶頸。據TomsHardware報導,目前半導體設備製造商已告知客戶,新訂單的平均等待時間約為18個月。ASML稱,從鏡塊、閥門、泵到微控制器、工程塑料等各種零配件的供應都遇到了困難,將影響設備的交付時間。顯然,半導體製造設備交付延遲,最終會影響半導體供應鏈。Unimicron表示,基板的交貨時間已經從2021年的12個月到18個月,增加到了目前的30個月。 除了半導體製造設備的生產,半導體行業缺乏足夠專業人士應付現階段的產能擴張,這不但影響了供應,還導致原有計劃延誤。據悉,台積電部分晶圓廠的建設,就因缺乏足夠工作人員而面臨延遲。此外,也間接導致了業內挖角機率的增加,促使像英特爾這樣的業內巨頭花更多的錢吸引和留住技術人才,對於中小型企業而言,想尋求發展的阻力就更大了。 雖然半導體製造商都投入巨資,旨在緩解供應鏈問題,並確保未來幾年的可持續增長,但仍會受制於各方面的因素,無法滿足各方面產品的所有要求,最終只能將有限的產能用於利潤率較高的產品中。隨著時間的推移,半導體行業持續的供應問題將逐漸在消費市場上顯現,從定價到供應等各方面,比如新產品發布後要經過很長時間才會降價。 ...

ASML工廠探秘:3億美元一台的EUV光刻機首次亮相

當地時間3月23日, 美國消費者新聞與商業頻道(CNBC)發布了針對全球光刻機龍頭ASML的采訪視頻,不僅展示了ASML的EUV光刻機工廠,還展示了ASML新一代高數值孔徑 (High-NA) EUV光刻機EXE:5000系列。 一、光刻機為何如此重要? 近年來,隨著全球數位化、智能化進程的加速,全球對於半導體的需求呈現快速增長的態勢。 根據半導體研究機構IC Insights 預計,2021年全球半導體產值躍升至6140億美元,同比大漲25%。2022年全球半導體產值有望達6806億美元規模,同比將增長11%,創歷史新高紀錄。 面對旺盛的半導體需求,目前全球的主要的半導體製造商也在紛紛擴大產能,由此也推升了對於半導體製造設備需求增長。 根據SEMI的預測數據顯示,預計2021年原始設備製造商的半導體製造設備全球銷售總額將達到1030億美元的新高,比2020年的710億美元的歷史記錄增長44.7%。預計2022年全球半導體製造設備市場總額將擴大到1140億美元。 半導體製造設備可以分為前道設備和後道設備。其中,前道製造設備主要包括光刻機、塗膠顯影設備、刻蝕機、去膠機、薄膜沉積設備、清洗機、CMP設備、離子注入機、熱處理設備、量測設備;後道製造設備主要包括減薄機、劃片機、裝片機、引線鍵合機、測試機、分選機、探針台等。 有統計數據顯示,光刻工藝是晶圓製造過程中占用時間比最大的步驟,約占晶圓製造總時長的40%-50%。可以說,如果沒有光刻機,晶片便無法製造。 如果以各類晶圓製造設備在產線當中的投資額占比來看,光刻機也是目前晶圓製造產線中成本最高的半導體設備,約占晶圓生產線設備總成本的27%。 目前能夠製造7nm以下先進位程的EUV光刻機,一台售價約2億美元,只有ASML一家能夠供應,且產能有限。 可以製造2nm先進位程的ASML的新一代高數值孔徑 (High-NA) EUV光刻機EXE:5500的售價將更是高達3億美元。 二、光刻機市場的霸主是如何煉成的? 1、誕生 ASML的前身是荷蘭電子巨頭飛利浦的光刻設備研發部門,曾在1973年成功研發出了新型光刻設備(PAS2000的原型),在整體性能研發方面取得一定成功,但由於成本高昂,且存在一系列技術問題,未能最終推出。 同時,由於其他設備商在解決接觸式光刻機的缺陷問題上用不同的技術路逕取得了突破,飛利浦一度計劃要關停光刻設備研發部門。 不過,隨後另一家半導體設備廠商ASMI希望與飛利浦合作開發生產光刻機,於是在1984年,雙方分別出資約210萬美元成立了ASML。 自2013年起擔任ASML的執行長的彼得·溫寧克(Peter Wennink),雖然早在1999年就加入了ASML,但那已是在ASML成立的15年後。 n △ASML最初的辦公地點 「那時ASML經濟困難,沒有資金,我們很窮。因為飛利浦公司太大了,沒有人看重這個小公司,他們試圖做一些瘋狂的事情,所以他們忽略了我們。」溫寧克說到。 △彼得·溫寧克(Peter Wennink) 在當時的光刻機市場,ASML也還只是一個「無名小卒」。 資料顯示,當時市場主要被美國GCA和日本的尼康所占據,二者分別占據了約30%的市場,Ultratech占比約10%,剩下的市場則被Eaton、P&E、佳能、日立等廠商瓜分,不過他們的份額均不到5%。 2、發展 盡管如此,在成立的第一年,ASML成功地推出了首款步進式光刻機PAS2000(基於1973年推出光刻設備的進一步完善,飛利浦最初的210萬美注資中有180萬美元就是用尚未研發完成的PAS2000充當的)。 不過,PAS2000採用的是油壓驅動,技術落後於當時的同行。 △PAS2000 1986年,ASML改進了對准系統,推出了PAS2500/10步進型光刻機,同時與德國鏡頭製造商卡爾蔡司(Carl Zeiss)建立了穩定的合作關系。 1988年,ASML跟隨飛利浦在台灣的合資流片工廠台積電開拓了亞洲業務,彼時,剛剛成立不久的台積電為ASML帶來了急需的17台光刻機訂單,使得ASML的國際化拓展初見成功。與台積電的深度合作,也為此後ASML的高速發展奠定了基礎。 當時,ASML在美國有五個辦事處,共有84名員工,並在荷蘭維爾多芬(Veldhoven)設立了一個新的據點,最終成為該公司的總部。 1990年左右,ASML推出PAS5500系列光刻機,這一設計超前的8英寸光刻機,其採用了模塊化設計的光刻系統,可以在同一平台上生產多代先進IC。 該平台的完全模塊化設計使晶片製造商能夠隨著技術需求的增加升級系統,並具有業界領先的生產效率和精度,成為了ASML當時扭轉局勢的重要產品。 △PAS5500 PAS5500不僅為ASML帶來台積電、三星和現代等關鍵客戶,憑借PAS5500的優勢持續獲得客戶的認可,也為ASML帶來了市占率的持續提升和豐厚的盈利。到1994年時,ASML在全球光刻機市場的市占率已經提升至18%。 1995年,ASML分別在阿姆斯特丹及紐約納斯達克上市。ASML利用上市募集的資金開始進一步加大研發投入並擴大生產規模,擴建了位於荷蘭埃因霍溫的廠房,現已成為ASML的總部。 3、超越 如果說PAS5500的成功,讓ASML成功在光刻機市場有了重要的一席之地,那麼ASML在浸沒式光刻技術上的成功,則一舉擊敗尼康等頭部光刻機廠商,成為全球光刻機市場的龍頭老大。 在2000年之前,光刻設備中一直採用的是乾式光刻技術,雖然鏡頭和光源等一直在改進,但始終難以將光刻光源的193nm(DUV,深紫外光)波長縮短到157nm,從而進一步提升光刻機的解析度。 直到2002年,時任台積電研發副總的林本堅博士提出了一個簡單解決辦法:放棄突破157nm,退回到技術成熟的193nm,把透鏡和矽片之間的介質從空氣換成水,由於水對193nm光的折射率高達1.44,那麼波長可縮短為193/1.44=134nm,從而可以大幅提升光刻解析度。 從以下公式可以看到,光刻解析度(R)主要由三個因數決定,分別是光的波長(λ)、鏡頭半孔徑角的正弦值(sinθ)、折射率(n)以及係數k1有關。 在光源波長及k1不變的情況下,要想提升解析度,則需要提升n或者sinθ值。由於sinθ與鏡頭有關,提升需要很大的成本,目前sinθ已經提升到0.93,已很難再提升,而且其不可能大於1,所以提升n就顯得更為現實。 因此,在原有的193nm光刻機系統當中增加浸沒單元,利用超純水替換透鏡和晶圓表面之間的空氣間隙(水在193nm波長時的折射率n=1.44,空氣為1),使得光源進入後波長縮短,從而提升光刻解析度。 基於與台積電的長期深度合作,以及希望通過彎道超車來對尼康等走乾式光刻技術路線的頭部光機廠商的趕超,ASML當時選擇了與台積電合作,走浸沒式光刻路線,在2003年開發出了首台浸沒式光刻機樣機TWINSCAN AT:1150i,成功將90nm製程提升到65nm。 2006年,ASML首台量產的浸入式設備TWINSCAN XT:1700i發布。2007年,AMSL又推出了首個193nm的浸沒式系統TWINSCAN XT:1900i。 相對於走乾式157nm光刻機路線進行疊代研發的尼康等廠商來說,ASML 193nm浸沒式光刻機由於是基於原有的成熟的平台進行改進,不僅成本更低、優化升級更迅速,而且精度更高,良率也更高,受到了客戶的普遍歡迎。這也使得ASML通過浸沒式光刻機成功實現了技術及市場的雙重領先。 雖然尼康後期也開始轉向浸沒式光刻系統,但是由於時間進度上的大幅落後,也導致了其難以在浸沒式光刻系統上實現對ASML的追趕,此後開始迅速走向沒落。 4、稱霸 使用193nm ArF光源的乾式光刻,其可以生產的半導體工藝節點可達45/40nm,而進一步採用浸沒式光刻、配合比較激進的可製造性設計(DfM)等技術後,可以生產28nm工藝節點的晶片。而要在193nm浸沒式光刻的基礎上,進入到更高端製程,就必須採用多重曝光,但其半導體工藝製程也只能達到7nm左右的極限。 雖然193nm浸沒式光刻技術解決了此前乾式光刻技術面臨的光刻光源的波長難以進一步縮短的問題,但是隨著工藝製程的繼續推進,要想繼續提升光刻解析度,如果不能進一步縮短光源波長,就必須採用多重曝光, 然而使用多重曝光會帶來兩大新問題:一是光刻加掩膜的成本上升,而且影響良率,多一次工藝步驟就是多一次良率的降低;二是工藝的循環周期延長,因為多重曝光不但增加曝光次數,而且增加刻蝕(ETCH)和機械研磨(CMP)工藝次數等。同時,即便採用了多重曝光,對於193nm浸沒式光刻機來說,製造7nm工藝節點的晶片也已經是極限。 所以,如果要推動半導體製程繼續往5nm及以下走,最為直接的方法就是採用新的波長為13.5nm的EUV(極紫外光)作為曝光光源(僅是193nm的1/14),不僅可以使得光刻的解析度大幅提升,同時也不再需要多重曝光,一次就能曝出想要的精細圖形,而且也不需要浸沒系統,沒有超純水和晶圓接觸,在產品生產周期、OPC的復雜程度、工藝控制、良率等方面的優勢明顯。 得益於通過193nm浸沒式光刻機系統在市場大獲成功,成為全球領先光刻機廠商之後,ASML很快又投入了全新的EUV光刻機的研發。 2010年,ASML首次發售概念性的EUV光刻系統NXW:3100,從而開啟EUV光刻系統的新時代。 但是EUV光刻機的研發不僅耗資巨大,即使研發成功,其單價也是高的驚人(單台售價超過1億美元),僅有少數晶圓製造商能夠負擔的起(目前全球也僅有5家廠商在用EUV光刻機),主要給ASML帶來了巨大的壓力。 為了繼續推動EUV光刻系統的研發,2012年ASML提出「客戶聯合投資專案」(Customer Co-Investment Program), 獲得其主要客戶英特爾、台積電、三星這三大全球晶圓製造巨頭的支持,ASML以23%的股權從這三家客戶那里共籌得53億歐元資金,以投入EUV光刻系統的研發和量產。 2013年,ASML發售第二代EUV系統NXE:3300B,但是精度與效率不具備10nm以下製程的生產效益;2015年ASML又推出第三代EUV系統NXE:3350。 2016年,第一批面向製造的EUV系統NXE:3400B開始批量發售,NXE:3400B的光學與機電系統的技術有所突破,極紫外光源的波長縮短至13nm,每小時處理晶圓125片,或每天可1500片;連續4周的平均生產良率可達80%,兼具高生產率與高精度。 2019年推出的NXE:3400C更是將產能提高到每小時處理晶圓175片。目前,ASML在售的EUV光刻機包括NXE:3300B、NXE:3400C兩種機型。 據ASML介紹,對於EUV光刻機的研發,ASML總計花了90億美元的研發投入和17年的研究,才最終獲得了成功。 憑借著英特爾、台積電、三星著三大頭部客戶的強力支持,再加上ASML自身在EUV光刻領域的持續研發投入,以及在EUV光刻設備上游的關鍵器件和技術領域的多筆收購及投資布局,使得ASML多年來一直是全球EUV光刻機市場的唯一供應商。 1997年,英特爾牽頭創辦了EUV LLC聯盟,隨後ASML作為唯一的光刻設備生產商加入聯盟,共享研究成果。 1999年6月,ASML收購MicroUnity Systems Engineering Inc. 業務部JMaskTools,使得公司在先進技術節點方面可以提供最完整的解決方案,改善了公司光刻機的掃描和成像能力,顯著增加了聚集深度,擴大了光刻窗口,提高了晶片產量。 2001年5月完成對Silicon Valley...

光刻機或成為晶片產量提升的主要瓶頸,ASML表示產能需提高50%才能滿足市場

近兩年來,晶片短缺成為了熱門話題。由於半導體供應鏈上的短缺,全球各大半導體製造商都投入了龐大的資金用於產能的擴張。這也衍生出另外一個問題,即用於提高產能的半導體生產工具產量是否能滿足需求。 阿斯麥(ASML)是全球最大的光刻機製造商,而光刻機是製造晶片的核心設備。ASML在45nm以下工藝製程的光刻機市場占據了85%的份額,在EUV(極紫外光刻技術)光刻機領域則處於絕對壟斷地位,市場占有率100%,這也是推進5nm/7nm工藝節點的關鍵。即便是DUV(深紫外線光科技術)光刻機,也能滿足現階段不斷增長的8英寸晶圓的生產需要。 近日ASML執行長Peter Wennick接受了媒體的采訪,表示已為晶片短缺盡了最大的努力,但ASML光刻機的年產量仍落後於半導體製造商提出的采購要求。Peter Wennick估計,ASML每年的光刻機產量需要在目前基礎上提高50%才能滿足市場的需求,考慮到這種精密設備的復雜性,幾乎不可能做到。 ASML在2021年交付了286台光刻機,與2020年相比增加了50台,也就是說年產量提高了18%,但距離50%的目標還差很遠。事實上,這家總部位於荷蘭的半導體行業巨頭,未來兩年都很可能成為行業發展的瓶頸。 英特爾CEO帕特-基爾辛格(Pat Gelsinger)很清楚ASML要提高光刻機產量的難度,所以直接與Peter Wennick聯系,以求更准確地預留興建或擴建工廠的緩沖時間,以便於采購ASML的光刻機能夠在入駐工廠的時間安排上更加契合,能夠及時投產。為了減少中間過程浪費不必要的時間,其他半導體製造商投資擴建產能的時候,可能也會選擇先搭建好工廠的框架,然後等待生產設備入駐。 Peter Wennick表示,ASML正在與供應商合作,試圖進一步提高產量,然而能做的也就那麼多了。在ASML的700多家供應商中,有200家被認為是關鍵供應商,需要每一家供應商同時增加產量,ASML才有可能提高光刻機的產量。由於光刻機里面使用的某些材料和組件極其復雜,有些部分想提高產量可能需要幾年的時間才能實現。 其中一個例子是Carl Zeiss,這是ASML的其中一個重要的供應商,生產用於光刻機的鏡塊,負責的是ASML的機器中最復雜的工程部件之一。Carl Zeiss必須要增加生產量,ASML才有可能提高光刻機的製造規模。Carl Zeiss要擴大生產規模,首先要投資用於擴建生產設施和潔淨室,然後聘請專業的技術人員。即便做到了這些,復雜鏡塊模組的整個製造過程還需要長達一年左右的時間。 雖然現階段半導體的投資規模很大,行業發展非常紅火,但僅僅依靠資本並不能解決所有的問題。半導體設備製造商需要應對的是世界上最復雜、最全球化的供應鏈之一,而且還很容易受到國際形勢和各種爭端的影響。事實上,ASML及其供應商在不過度擴張的情況下,穩定地增加產量已經不容易了。 ...
加速購買EUV光刻機 外媒 三星尋求加快5nm及3nm芯片製程工藝研發

半導體製造關鍵原料面臨斷供 光刻機一哥ASML急尋備胎

近期烏克蘭局勢不穩定,這也意外地加劇了全球半導體行業的緊張,因為該地區生產了全球70%的氖氣,這是半導體製造中的關鍵原料,用量不多但非常重要,以致於光刻機巨頭ASML都要尋找備胎,防止斷供。 當地時間周三,ASML公司CEO表示該公司正在為工廠使用的少量氖氣尋找其他來源。 該公司發言人也表示,盡管烏克蘭是世界上最大的氖氣生產國,但阿斯麥使用的氖氣中只有不到20%來自該國。 據了解,氖氣是惰性氣體中的一種,在半導體工藝中惰性氣體是一種重要材料,在DUV深紫外光光刻時代,產生DUV光源就需要多種惰性氣體,然後與鹵素分子混合,再使用電子束能量激發才能產生DUV波長的光,最後再用於晶片製造。 另外,來自EET-China的報導稱,中國特種氣體市場資深分析師任路則表示,雖然氖、氪、氙為半導體行業生產所必需,但是其絕對使用量並不高,作為鋼鐵行業的副產品,全球市場體量不是很大。 「中國是全球鋼鐵大國,對於這些稀有氣體的純化技術已經實現了突破,生產工藝也比較成熟,不再是能夠『卡中國脖子』的技術了。」 來源:快科技